>>> yosys: Building testing/yosys 0.36-r1 (using abuild 3.12.0-r2) started Thu, 18 Jan 2024 23:55:06 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base abc bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/304) Installing readline (8.2.10-r0) (2/304) Installing abc (0_git20240102-r0) (3/304) Installing bash (5.2.26-r0) Executing bash-5.2.26-r0.post-install (4/304) Installing m4 (1.4.19-r3) (5/304) Installing bison (3.8.2-r1) (6/304) Installing boost1.82-atomic (1.82.0-r3) (7/304) Installing boost1.82-chrono (1.82.0-r3) (8/304) Installing boost1.82-container (1.82.0-r3) (9/304) Installing boost1.82-context (1.82.0-r3) (10/304) Installing boost1.82-contract (1.82.0-r3) (11/304) Installing boost1.82-coroutine (1.82.0-r3) (12/304) Installing boost1.82-date_time (1.82.0-r3) (13/304) Installing boost1.82-fiber (1.82.0-r3) (14/304) Installing boost1.82-filesystem (1.82.0-r3) (15/304) Installing boost1.82-graph (1.82.0-r3) (16/304) Installing libbz2 (1.0.8-r6) (17/304) Installing xz-libs (5.4.5-r0) (18/304) Installing boost1.82-iostreams (1.82.0-r3) (19/304) Installing boost1.82-thread (1.82.0-r3) (20/304) Installing icu-data-en (74.2-r0) Executing icu-data-en-74.2-r0.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (21/304) Installing icu-libs (74.2-r0) (22/304) Installing boost1.82-locale (1.82.0-r3) (23/304) Installing boost1.82-log (1.82.0-r3) (24/304) Installing boost1.82-log_setup (1.82.0-r3) (25/304) Installing boost1.82-math (1.82.0-r3) (26/304) Installing boost1.82-prg_exec_monitor (1.82.0-r3) (27/304) Installing boost1.82-program_options (1.82.0-r3) (28/304) Installing libffi (3.4.4-r3) (29/304) Installing gdbm (1.23-r1) (30/304) Installing mpdecimal (2.5.1-r2) (31/304) Installing libpanelw (6.4_p20231125-r0) (32/304) Installing sqlite-libs (3.45.0-r0) (33/304) Installing python3 (3.11.6-r1) (34/304) Installing python3-pycache-pyc0 (3.11.6-r1) (35/304) Installing pyc (3.11.6-r1) (36/304) Installing python3-pyc (3.11.6-r1) (37/304) Installing boost1.82-python3 (1.82.0-r3) (38/304) Installing boost1.82-random (1.82.0-r3) (39/304) Installing boost1.82-regex (1.82.0-r3) (40/304) Installing boost1.82-serialization (1.82.0-r3) (41/304) Installing boost1.82-stacktrace_basic (1.82.0-r3) (42/304) Installing boost1.82-stacktrace_noop (1.82.0-r3) (43/304) Installing boost1.82-system (1.82.0-r3) (44/304) Installing boost1.82-timer (1.82.0-r3) (45/304) Installing boost1.82-type_erasure (1.82.0-r3) (46/304) Installing boost1.82-unit_test_framework (1.82.0-r3) (47/304) Installing boost1.82-url (1.82.0-r3) (48/304) Installing boost1.82-wave (1.82.0-r3) (49/304) Installing boost1.82-wserialization (1.82.0-r3) (50/304) Installing boost1.82-json (1.82.0-r3) (51/304) Installing boost1.82-nowide (1.82.0-r3) (52/304) Installing boost1.82-libs (1.82.0-r3) (53/304) Installing boost1.82 (1.82.0-r3) (54/304) Installing linux-headers (6.6-r0) (55/304) Installing bzip2-dev (1.0.8-r6) (56/304) Installing icu (74.2-r0) (57/304) Installing icu-dev (74.2-r0) (58/304) Installing xz (5.4.5-r0) (59/304) Installing xz-dev (5.4.5-r0) (60/304) Installing zlib-dev (1.3-r2) (61/304) Installing zstd (1.5.5-r8) (62/304) Installing zstd-dev (1.5.5-r8) (63/304) Installing boost1.82-dev (1.82.0-r3) (64/304) Installing boost-dev (1.82.0-r3) (65/304) Installing clang17-headers (17.0.6-r0) (66/304) Installing libxml2 (2.12.4-r0) (67/304) Installing llvm17-libs (17.0.6-r0) (68/304) Installing clang17-libs (17.0.6-r0) (69/304) Installing llvm17-linker-tools (17.0.6-r0) (70/304) Installing clang17 (17.0.6-r0) (71/304) Installing flex (2.6.4-r6) (72/304) Installing gawk (5.3.0-r0) (73/304) Installing cairo-tools (1.18.0-r0) (74/304) Installing libpng (1.6.40-r0) (75/304) Installing freetype (2.13.2-r0) (76/304) Installing fontconfig (2.15.0-r0) (77/304) Installing expat (2.5.0-r2) (78/304) Installing expat-dev (2.5.0-r2) (79/304) Installing brotli (1.1.0-r1) (80/304) Installing brotli-dev (1.1.0-r1) (81/304) Installing libpng-dev (1.6.40-r0) (82/304) Installing freetype-dev (2.13.2-r0) (83/304) Installing fontconfig-dev (2.15.0-r0) (84/304) Installing libxau (1.0.11-r3) (85/304) Installing xorgproto (2023.2-r0) (86/304) Installing libxau-dev (1.0.11-r3) (87/304) Installing libmd (1.1.0-r0) (88/304) Installing libbsd (0.11.8-r0) (89/304) Installing libxdmcp (1.1.4-r3) (90/304) Installing libxcb (1.16-r0) (91/304) Installing libx11 (1.8.7-r0) (92/304) Installing libxext (1.3.5-r3) (93/304) Installing xcb-proto (1.16.0-r0) (94/304) Installing xcb-proto-pyc (1.16.0-r0) (95/304) Installing libxdmcp-dev (1.1.4-r3) (96/304) Installing libxcb-dev (1.16-r0) (97/304) Installing xtrans (1.5.0-r0) (98/304) Installing libx11-dev (1.8.7-r0) (99/304) Installing libxext-dev (1.3.5-r3) (100/304) Installing libxrender (0.9.11-r4) (101/304) Installing libxrender-dev (0.9.11-r4) (102/304) Installing pixman (0.43.0-r0) (103/304) Installing pixman-dev (0.43.0-r0) (104/304) Installing util-macros (1.20.0-r0) (105/304) Installing xcb-util (0.4.1-r3) (106/304) Installing xcb-util-dev (0.4.1-r3) (107/304) Installing cairo (1.18.0-r0) (108/304) Installing libintl (0.22.4-r0) (109/304) Installing libblkid (2.39.3-r0) (110/304) Installing libmount (2.39.3-r0) (111/304) Installing glib (2.78.3-r0) (112/304) Installing cairo-gobject (1.18.0-r0) (113/304) Installing libxml2-utils (2.12.4-r0) (114/304) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (115/304) Installing libgpg-error (1.47-r2) (116/304) Installing libgcrypt (1.10.3-r0) (117/304) Installing libxslt (1.1.39-r0) (118/304) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (119/304) Installing gettext-asprintf (0.22.4-r0) (120/304) Installing gettext-libs (0.22.4-r0) (121/304) Installing gettext-envsubst (0.22.4-r0) (122/304) Installing gettext (0.22.4-r0) (123/304) Installing gettext-dev (0.22.4-r0) (124/304) Installing libffi-dev (3.4.4-r3) (125/304) Installing bsd-compat-headers (0.7.2-r5) (126/304) Installing libformw (6.4_p20231125-r0) (127/304) Installing libmenuw (6.4_p20231125-r0) (128/304) Installing libncurses++ (6.4_p20231125-r0) (129/304) Installing ncurses-dev (6.4_p20231125-r0) (130/304) Installing libedit-dev (20230828.3.1-r4) (131/304) Installing libpcre2-16 (10.42-r2) (132/304) Installing libpcre2-32 (10.42-r2) (133/304) Installing pcre2-dev (10.42-r2) (134/304) Installing libuuid (2.39.3-r0) (135/304) Installing libfdisk (2.39.3-r0) (136/304) Installing libsmartcols (2.39.3-r0) (137/304) Installing util-linux-dev (2.39.3-r0) (138/304) Installing glib-dev (2.78.3-r0) (139/304) Installing cairo-dev (1.18.0-r0) (140/304) Installing libice (1.1.1-r5) (141/304) Installing libsm (1.2.4-r3) (142/304) Installing libxt (1.3.0-r4) (143/304) Installing libxpm (3.5.17-r0) (144/304) Installing aom-libs (3.7.1-r0) (145/304) Installing libdav1d (1.3.0-r0) (146/304) Installing libsharpyuv (1.3.2-r0) (147/304) Installing libavif (1.0.3-r0) (148/304) Installing libjpeg-turbo (3.0.1-r0) (149/304) Installing libwebp (1.3.2-r0) (150/304) Installing tiff (4.6.0-r0) (151/304) Installing libgd (2.3.3-r8) (152/304) Installing gd (2.3.3-r8) (153/304) Installing perl (5.38.2-r0) (154/304) Installing libavif-dev (1.0.3-r0) (155/304) Installing libturbojpeg (3.0.1-r0) (156/304) Installing libjpeg-turbo-dev (3.0.1-r0) (157/304) Installing libtiffxx (4.6.0-r0) (158/304) Installing libwebpdecoder (1.3.2-r0) (159/304) Installing libwebpdemux (1.3.2-r0) (160/304) Installing libwebpmux (1.3.2-r0) (161/304) Installing libwebp-dev (1.3.2-r0) (162/304) Installing tiff-dev (4.6.0-r0) (163/304) Installing libxpm-dev (3.5.17-r0) (164/304) Installing gd-dev (2.3.3-r8) (165/304) Installing libgmpxx (6.3.0-r0) (166/304) Installing gmp-dev (6.3.0-r0) (167/304) Installing libice-dev (1.1.1-r5) (168/304) Installing libsm-dev (1.2.4-r3) (169/304) Installing libxft (2.3.8-r2) (170/304) Installing graphite2 (1.3.14-r6) (171/304) Installing harfbuzz (8.3.0-r0) (172/304) Installing fribidi (1.0.13-r0) (173/304) Installing pango (1.51.0-r0) (174/304) Installing pango-tools (1.51.0-r0) (175/304) Installing fribidi-dev (1.0.13-r0) (176/304) Installing harfbuzz-cairo (8.3.0-r0) (177/304) Installing harfbuzz-gobject (8.3.0-r0) (178/304) Installing harfbuzz-icu (8.3.0-r0) (179/304) Installing harfbuzz-subset (8.3.0-r0) (180/304) Installing graphite2-dev (1.3.14-r6) (181/304) Installing harfbuzz-dev (8.3.0-r0) (182/304) Installing libxft-dev (2.3.8-r2) (183/304) Installing pango-dev (1.51.0-r0) (184/304) Installing python3-dev (3.11.6-r1) (185/304) Installing graphviz-libs (9.0.0-r0) (186/304) Installing graphviz-dev (9.0.0-r0) (187/304) Installing lld-libs (17.0.6-r0) (188/304) Installing scudo-malloc (17.0.6-r0) (189/304) Installing lld (17.0.6-r0) (190/304) Installing abseil-cpp-raw-logging-internal (20230802.1-r0) (191/304) Installing abseil-cpp-log-internal-globals (20230802.1-r0) (192/304) Installing abseil-cpp-time-zone (20230802.1-r0) (193/304) Installing abseil-cpp-log-initialize (20230802.1-r0) (194/304) Installing abseil-cpp-city (20230802.1-r0) (195/304) Installing abseil-cpp-low-level-hash (20230802.1-r0) (196/304) Installing abseil-cpp-hash (20230802.1-r0) (197/304) Installing abseil-cpp-log-internal-nullguard (20230802.1-r0) (198/304) Installing abseil-cpp-strings-internal (20230802.1-r0) (199/304) Installing abseil-cpp-strings (20230802.1-r0) (200/304) Installing abseil-cpp-log-internal-check-op (20230802.1-r0) (201/304) Installing abseil-cpp-spinlock-wait (20230802.1-r0) (202/304) Installing abseil-cpp-base (20230802.1-r0) (203/304) Installing abseil-cpp-log-internal-conditions (20230802.1-r0) (204/304) Installing abseil-cpp-debugging-internal (20230802.1-r0) (205/304) Installing abseil-cpp-stacktrace (20230802.1-r0) (206/304) Installing abseil-cpp-symbolize (20230802.1-r0) (207/304) Installing abseil-cpp-examine-stack (20230802.1-r0) (208/304) Installing abseil-cpp-log-globals (20230802.1-r0) (209/304) Installing abseil-cpp-int128 (20230802.1-r0) (210/304) Installing abseil-cpp-str-format-internal (20230802.1-r0) (211/304) Installing abseil-cpp-time (20230802.1-r0) (212/304) Installing abseil-cpp-log-internal-format (20230802.1-r0) (213/304) Installing abseil-cpp-log-sink (20230802.1-r0) (214/304) Installing abseil-cpp-kernel-timeout-internal (20230802.1-r0) (215/304) Installing abseil-cpp-malloc-internal (20230802.1-r0) (216/304) Installing abseil-cpp-synchronization (20230802.1-r0) (217/304) Installing abseil-cpp-log-internal-log-sink-set (20230802.1-r0) (218/304) Installing abseil-cpp-log-internal-proto (20230802.1-r0) (219/304) Installing abseil-cpp-strerror (20230802.1-r0) (220/304) Installing abseil-cpp-log-internal-message (20230802.1-r0) (221/304) Installing abseil-cpp-raw-hash-set (20230802.1-r0) (222/304) Installing abseil-cpp-crc-internal (20230802.1-r0) (223/304) Installing abseil-cpp-crc32c (20230802.1-r0) (224/304) Installing abseil-cpp-crc-cord-state (20230802.1-r0) (225/304) Installing abseil-cpp-throw-delegate (20230802.1-r0) (226/304) Installing abseil-cpp-cord-internal (20230802.1-r0) (227/304) Installing abseil-cpp-exponential-biased (20230802.1-r0) (228/304) Installing abseil-cpp-cordz-functions (20230802.1-r0) (229/304) Installing abseil-cpp-cordz-handle (20230802.1-r0) (230/304) Installing abseil-cpp-cordz-info (20230802.1-r0) (231/304) Installing abseil-cpp-cord (20230802.1-r0) (232/304) Installing abseil-cpp-status (20230802.1-r0) (233/304) Installing abseil-cpp-statusor (20230802.1-r0) (234/304) Installing abseil-cpp-die-if-null (20230802.1-r0) (235/304) Installing libprotobuf (24.4-r1) (236/304) Installing libprotoc (24.4-r1) (237/304) Installing protoc (24.4-r1) (238/304) Installing libprotobuf-lite (24.4-r1) (239/304) Installing abseil-cpp-atomic-hook-test-helper (20230802.1-r0) (240/304) Installing abseil-cpp-bad-any-cast-impl (20230802.1-r0) (241/304) Installing abseil-cpp-bad-optional-access (20230802.1-r0) (242/304) Installing abseil-cpp-bad-variant-access (20230802.1-r0) (243/304) Installing abseil-cpp-civil-time (20230802.1-r0) (244/304) Installing abseil-cpp-cordz-sample-token (20230802.1-r0) (245/304) Installing abseil-cpp-crc-cpu-detect (20230802.1-r0) (246/304) Installing abseil-cpp-demangle-internal (20230802.1-r0) (247/304) Installing gtest (1.14.0-r1) (248/304) Installing abseil-cpp-exception-safety-testing (20230802.1-r0) (249/304) Installing abseil-cpp-failure-signal-handler (20230802.1-r0) (250/304) Installing abseil-cpp-flags-commandlineflag-internal (20230802.1-r0) (251/304) Installing abseil-cpp-flags-commandlineflag (20230802.1-r0) (252/304) Installing abseil-cpp-flags-program-name (20230802.1-r0) (253/304) Installing abseil-cpp-flags-config (20230802.1-r0) (254/304) Installing abseil-cpp-flags-internal (20230802.1-r0) (255/304) Installing abseil-cpp-flags-marshalling (20230802.1-r0) (256/304) Installing abseil-cpp-flags-private-handle-accessor (20230802.1-r0) (257/304) Installing abseil-cpp-flags-reflection (20230802.1-r0) (258/304) Installing abseil-cpp-flags-usage (20230802.1-r0) (259/304) Installing abseil-cpp-flags-usage-internal (20230802.1-r0) (260/304) Installing abseil-cpp-flags-parse (20230802.1-r0) (261/304) Installing abseil-cpp-flags (20230802.1-r0) (262/304) Installing abseil-cpp-graphcycles-internal (20230802.1-r0) (263/304) Installing abseil-cpp-hash-generator-testing (20230802.1-r0) (264/304) Installing abseil-cpp-hashtablez-sampler (20230802.1-r0) (265/304) Installing abseil-cpp-leak-check (20230802.1-r0) (266/304) Installing abseil-cpp-log-entry (20230802.1-r0) (267/304) Installing abseil-cpp-log-flags (20230802.1-r0) (268/304) Installing abseil-cpp-log-severity (20230802.1-r0) (269/304) Installing abseil-cpp-log-internal-test-actions (20230802.1-r0) (270/304) Installing abseil-cpp-log-internal-test-helpers (20230802.1-r0) (271/304) Installing abseil-cpp-log-internal-test-matchers (20230802.1-r0) (272/304) Installing abseil-cpp-per-thread-sem-test-common (20230802.1-r0) (273/304) Installing abseil-cpp-periodic-sampler (20230802.1-r0) (274/304) Installing abseil-cpp-pow10-helper (20230802.1-r0) (275/304) Installing abseil-cpp-random-distributions (20230802.1-r0) (276/304) Installing abseil-cpp-random-internal-distribution-test-util (20230802.1-r0) (277/304) Installing abseil-cpp-random-internal-platform (20230802.1-r0) (278/304) Installing abseil-cpp-random-internal-randen-hwaes (20230802.1-r0) (279/304) Installing abseil-cpp-random-internal-randen-hwaes-impl (20230802.1-r0) (280/304) Installing abseil-cpp-random-internal-randen-slow (20230802.1-r0) (281/304) Installing abseil-cpp-random-internal-randen (20230802.1-r0) (282/304) Installing abseil-cpp-random-internal-seed-material (20230802.1-r0) (283/304) Installing abseil-cpp-random-seed-gen-exception (20230802.1-r0) (284/304) Installing abseil-cpp-random-internal-pool-urbg (20230802.1-r0) (285/304) Installing abseil-cpp-random-seed-sequences (20230802.1-r0) (286/304) Installing gmock (1.14.0-r1) (287/304) Installing abseil-cpp-scoped-mock-log (20230802.1-r0) (288/304) Installing abseil-cpp-scoped-set-env (20230802.1-r0) (289/304) Installing abseil-cpp-spinlock-test-common (20230802.1-r0) (290/304) Installing abseil-cpp-stack-consumption (20230802.1-r0) (291/304) Installing abseil-cpp-string-view (20230802.1-r0) (292/304) Installing abseil-cpp-test-instance-tracker (20230802.1-r0) (293/304) Installing abseil-cpp-time-internal-test-util (20230802.1-r0) (294/304) Installing abseil-cpp-dev (20230802.1-r0) (295/304) Installing protobuf-dev (24.4-r1) (296/304) Installing libhistory (8.2.10-r0) (297/304) Installing readline-dev (8.2.10-r0) (298/304) Installing tzdata (2023d-r0) (299/304) Installing tcl (8.6.13-r1) (300/304) Installing tcl-dev (8.6.13-r1) (301/304) Installing .makedepends-yosys (20240118.235507) (302/304) Installing perl-error (0.17029-r2) (303/304) Installing perl-git (2.43.0-r0) (304/304) Installing git-perl (2.43.0-r0) Executing busybox-1.36.1-r19.trigger Executing glib-2.78.3-r0.trigger No schema files found: removed existing output file. OK: 1132 MiB in 409 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Cleaning up tmpdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.36.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.36.tar.gz >>> yosys: Checking sha512sums... yosys-0.36.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/yosys-0.36.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 [ 0%] Building kernel/version_8f07a0d8404.cc [ 0%] Building kernel/celltypes.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/consteval.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/log.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/register.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/rtlil.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/sigtools.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/yosys.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/cost.pyh clang++: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/driver.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 1%] Building kernel/log.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 2%] Building kernel/calc.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 2%] Building kernel/yosys.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 2%] Building kernel/binding.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 3%] Building kernel/cellaigs.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 3%] Building kernel/celledges.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 3%] Building kernel/satgen.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/qcsat.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/mem.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/ffmerge.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/ff.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 5%] Building kernel/yw.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 5%] Building kernel/json.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 5%] Building kernel/fmt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 6%] Building kernel/fstdata.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 6%] Building libs/bigint/BigIntegerAlgorithms.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 6%] Building libs/bigint/BigInteger.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 7%] Building libs/bigint/BigIntegerUtils.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 7%] Building libs/bigint/BigUnsigned.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 7%] Building libs/bigint/BigUnsignedInABase.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 8%] Building libs/sha1/sha1.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 8%] Building libs/json11/json11.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 8%] Building libs/subcircuit/subcircuit.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/ezsat/ezsat.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/ezsat/ezminisat.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/minisat/Options.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/minisat/SimpSolver.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 10%] Building libs/minisat/Solver.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 10%] Building libs/minisat/System.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 10%] Building libs/fst/fstapi.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 11%] Building libs/fst/fastlz.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] 5539 | unsigned int secnum = 0; | ^ [ 11%] Building libs/fst/lz4.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 11%] Building frontends/aiger/aigerparse.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 12%] Building frontends/ast/ast.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 12%] Building frontends/ast/simplify.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 12%] Building frontends/ast/genrtlil.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 13%] Building frontends/ast/dpicall.o 1 warning generated. clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 13%] Building frontends/ast/ast_binding.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 13%] Building frontends/blif/blifparse.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/json/jsonparse.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/liberty/liberty.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/rpc/rpc_frontend.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 15%] Building frontends/verific/verific.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 17%] Building frontends/verilog/verilog_frontend.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 17%] Building frontends/verilog/const2ast.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 17%] Building passes/cmds/exec.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/add.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/delete.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/design.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/select.o clang[ 19%] Building passes/cmds/show.o : warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 19%] Building passes/cmds/viz.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 19%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 20%] Building passes/cmds/connect.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 20%] Building passes/cmds/scatter.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 21%] Building passes/cmds/setundef.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 21%] Building passes/cmds/splitnets.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 21%] Building passes/cmds/splitcells.o [ 22%] Building passes/cmds/stat.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: [ 22%] Building passes/cmds/setattr.o warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 22%] Building passes/cmds/copy.o [ 23%] Building passes/cmds/splice.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/glift.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 23%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: [ 24%] Building passes/cmds/tee.o argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 24%] Building passes/cmds/write_file.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 25%] Building passes/cmds/connwrappers.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 26%] Building passes/cmds/plugin.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 26%] Building passes/cmds/check.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 26%] Building passes/cmds/qwp.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/edgetypes.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/portlist.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 28%] Building passes/cmds/blackbox.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 28%] Building passes/cmds/ltp.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 28%] Building passes/cmds/bugpoint.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 29%] Building passes/cmds/scratchpad.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 29%] Building passes/cmds/logger.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 29%] Building passes/cmds/printattrs.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 30%] Building passes/cmds/sta.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 30%] Building passes/cmds/clean_zerowidth.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 30%] Building passes/cmds/xprop.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 31%] Building passes/cmds/dft_tag.o clang: warning: [ 31%] Building passes/cmds/future.o argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 31%] Building passes/equiv/equiv_make.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 32%] Building passes/equiv/equiv_miter.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 32%] Building passes/equiv/equiv_simple.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 32%] Building passes/equiv/equiv_status.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_add.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_remove.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_induct.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_struct.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 34%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 34%] Building passes/equiv/equiv_opt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 35%] Building passes/fsm/fsm.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 35%] Building passes/fsm/fsm_detect.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 35%] Building passes/fsm/fsm_extract.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_opt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_expand.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_recode.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/fsm/fsm_info.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/fsm/fsm_export.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/fsm/fsm_map.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/hierarchy/hierarchy.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 38%] Building passes/hierarchy/uniquify.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 39%] Building passes/memory/memory_dff.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 39%] Building passes/memory/memory_share.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 39%] Building passes/memory/memory_collect.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 40%] Building passes/memory/memory_unpack.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 40%] Building passes/memory/memory_bram.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 40%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 41%] Building passes/memory/memory_nordff.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 41%] Building passes/memory/memory_narrow.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 42%] Building passes/memory/memory_libmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 42%] Building passes/memory/memory_bmux2rom.o [ 42%] Building passes/memory/memlib.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 42%] Building passes/opt/opt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 43%] Building passes/opt/opt_merge.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 43%] Building passes/opt/opt_mem.o [ 43%] Building passes/opt/opt_mem_feedback.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 44%] Building passes/opt/opt_mem_priority.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 44%] Building passes/opt/opt_mem_widen.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 44%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 45%] Building passes/opt/opt_dff.o [ 45%] Building passes/opt/opt_share.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 46%] Building passes/opt/opt_clean.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 46%] Building passes/opt/opt_expr.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 46%] Building passes/opt/share.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/wreduce.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/opt_demorgan.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/rmports.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/opt_lut.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 48%] Building passes/opt/opt_lut_ins.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 48%] Building passes/opt/opt_ffinv.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 48%] Building passes/opt/pmux2shiftx.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/opt/muxpack.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 49%] Building passes/pmgen/ice40_dsp.o [ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/pmgen/xilinx_dsp_pm.h [ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 50%] Building passes/pmgen/peepopt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 51%] Building passes/pmgen/xilinx_srl.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 51%] Building passes/proc/proc.o [ 51%] Building passes/proc/proc_prune.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc_clean.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc_rmdead.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc_init.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc_arst.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 53%] Building passes/proc/proc_rom.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 53%] Building passes/proc/proc_mux.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 53%] Building passes/proc/proc_dlatch.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/proc/proc_dff.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/proc/proc_memwr.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/sat/sat.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 55%] Building passes/sat/freduce.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 55%] Building passes/sat/eval.o [ 55%] Building passes/sat/sim.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 56%] Building passes/sat/miter.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 56%] Building passes/sat/expose.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 56%] Building passes/sat/assertpmux.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 56%] Building passes/sat/clk2fflogic.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 57%] Building passes/sat/async2sync.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 57%] Building passes/sat/formalff.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 57%] Building passes/sat/supercover.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 58%] Building passes/sat/fmcombine.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 58%] Building passes/sat/mutate.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 58%] Building passes/sat/cutpoint.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 59%] Building passes/sat/fminit.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 59%] Building passes/sat/recover_names.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 59%] Building passes/sat/qbfsat.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 60%] Building passes/sat/synthprop.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 60%] Building passes/techmap/flatten.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 60%] Building passes/techmap/techmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/techmap/simplemap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/techmap/dfflibmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/techmap/maccmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/techmap/booth.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 62%] Building passes/techmap/libparse.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 62%] Building passes/techmap/abc.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 62%] Building passes/techmap/abc9.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/abc9_exe.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/abc9_ops.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/iopadmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 64%] Building passes/techmap/clkbufmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 64%] Building passes/techmap/hilomap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 64%] Building passes/techmap/extract.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 65%] Building passes/techmap/extract_fa.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 65%] Building passes/techmap/extract_counter.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 65%] Building passes/techmap/extract_reduce.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 66%] Building passes/techmap/alumacc.o [ 66%] Building passes/techmap/dffinit.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 66%] Building passes/techmap/pmuxtree.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 66%] Building passes/techmap/bmuxmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 67%] Building passes/techmap/demuxmap.o [ 67%] Building passes/techmap/bwmuxmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 67%] Building passes/techmap/muxcover.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 68%] Building passes/techmap/aigmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 68%] Building passes/techmap/tribuf.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 68%] Building passes/techmap/lut2mux.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 69%] Building passes/techmap/nlutmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 69%] Building passes/techmap/shregmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 69%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 70%] Building passes/techmap/attrmvcp.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 70%] Building passes/techmap/attrmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/zinit.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/dfflegalize.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/dffunmap.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/flowmap.o [ 72%] Building passes/techmap/extractinv.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 72%] Building passes/tests/test_autotb.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 72%] Building passes/tests/test_cell.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 73%] Building passes/tests/test_abcloop.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 73%] Building backends/aiger/aiger.o [ 73%] Building backends/aiger/xaiger.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 74%] Building backends/blif/blif.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 74%] Building backends/btor/btor.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 74%] Building backends/cxxrtl/cxxrtl_backend.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 75%] Building backends/edif/edif.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 75%] Building backends/firrtl/firrtl.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 75%] Building backends/intersynth/intersynth.o [ 75%] Building backends/jny/jny.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 76%] Building backends/json/json.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 76%] Building backends/rtlil/rtlil_backend.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 76%] Building backends/simplec/simplec.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 77%] Building backends/smt2/smt2.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 77%] Building backends/smv/smv.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 77%] Building backends/spice/spice.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 78%] Building backends/table/table.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 78%] Building backends/verilog/verilog_backend.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 78%] Building techlibs/achronix/synth_achronix.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 79%] Building techlibs/anlogic/synth_anlogic.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 79%] Building techlibs/anlogic/anlogic_eqn.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 79%] Building techlibs/anlogic/anlogic_fixcarry.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/common/synth.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/common/prep.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/coolrunner2/synth_coolrunner2.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/coolrunner2/coolrunner2_sop.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/coolrunner2/coolrunner2_fixup.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/easic/synth_easic.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/ecp5/synth_ecp5.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 82%] Building techlibs/efinix/synth_efinix.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 82%] Building techlibs/efinix/efinix_fixcarry.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 82%] Building techlibs/fabulous/synth_fabulous.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 83%] Building techlibs/gatemate/synth_gatemate.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 83%] Building techlibs/gatemate/gatemate_foldinv.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 83%] Building techlibs/gowin/synth_gowin.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 84%] Building techlibs/greenpak4/synth_greenpak4.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 84%] Building techlibs/greenpak4/greenpak4_dffinv.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 84%] Building techlibs/ice40/synth_ice40.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 85%] Building techlibs/ice40/ice40_braminit.o [ 85%] Building techlibs/ice40/ice40_opt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 85%] Building techlibs/intel/synth_intel.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 85%] Building techlibs/intel_alm/synth_intel_alm.o [ 86%] Building techlibs/lattice/synth_lattice.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 86%] Building techlibs/lattice/lattice_gsr.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 86%] Building techlibs/nexus/synth_nexus.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 87%] Building techlibs/quicklogic/synth_quicklogic.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 87%] Building techlibs/quicklogic/ql_bram_merge.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 87%] Building techlibs/quicklogic/ql_bram_types.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 88%] Building techlibs/quicklogic/ql_dsp_simd.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 88%] Building techlibs/quicklogic/ql_dsp_io_regs.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 88%] Building techlibs/quicklogic/ql_dsp_macc_pm.h [ 89%] Building techlibs/sf2/synth_sf2.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 89%] Building techlibs/xilinx/synth_xilinx.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 89%] Building techlibs/xilinx/xilinx_dffopt.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/cellaigs.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/cost.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/ffmerge.h [ 99%] Building share/include/kernel/fmt.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/json.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/timinginfo.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/yw.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/cmp2softlogic.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/cells_xtra.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/lattice/cells_ff.vh [ 99%] Building share/lattice/cells_io.vh [ 99%] Building share/lattice/cells_map.v [ 99%] Building share/lattice/common_sim.vh [ 99%] Building share/lattice/ccu2d_sim.vh [ 99%] Building share/lattice/ccu2c_sim.vh [ 99%] Building share/lattice/cells_sim_ecp5.v [ 99%] Building share/lattice/cells_sim_xo2.v [ 99%] Building share/lattice/cells_sim_xo3.v [ 99%] Building share/lattice/cells_sim_xo3d.v [ 99%] Building share/lattice/cells_bb_ecp5.v [ 99%] Building share/lattice/cells_bb_xo2.v [ 99%] Building share/lattice/cells_bb_xo3.v [ 99%] Building share/lattice/cells_bb_xo3d.v [ 99%] Building share/lattice/lutrams_map.v [ 99%] Building share/lattice/lutrams.txt [ 99%] Building share/lattice/brams_map_16kd.v [ 99%] Building share/lattice/brams_16kd.txt [ 99%] Building share/lattice/brams_map_8kc.v [ 99%] Building share/lattice/brams_8kc.txt [ 99%] Building share/lattice/arith_map_ccu2c.v [ 99%] Building share/lattice/arith_map_ccu2d.v [ 99%] Building share/lattice/latches_map.v [ 99%] Building share/lattice/dsp_map_18x18.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/common/cells_sim.v [ 99%] Building share/quicklogic/pp3/ffs_map.v [ 99%] Building share/quicklogic/pp3/lut_map.v [ 99%] Building share/quicklogic/pp3/latches_map.v [ 99%] Building share/quicklogic/pp3/cells_map.v [ 99%] Building share/quicklogic/pp3/cells_sim.v [ 99%] Building share/quicklogic/pp3/abc9_model.v [ 99%] Building share/quicklogic/pp3/abc9_map.v [ 99%] Building share/quicklogic/pp3/abc9_unmap.v [ 99%] Building share/quicklogic/qlf_k6n10f/arith_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams.txt [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_sim.v [ 99%] Building techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/cells_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/ffs_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_final_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v [ 99%] Building share/quicklogic/qlf_k6n10f/ufifo_ctl.v [ 99%] Building share/quicklogic/qlf_k6n10f/sram1024x18_mem.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_8f07a0d8404.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building frontends/rtlil/rtlil_parser.tab.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building frontends/rtlil/rtlil_lexer.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building passes/pmgen/test_pmgen.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building passes/pmgen/ice40_wrapcarry.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building passes/pmgen/xilinx_dsp.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building techlibs/quicklogic/ql_dsp_macc.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building frontends/verilog/verilog_lexer.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] frontends/verilog/verilog_parser.tab.cc:3655:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] 3655 | int yynerrs = 0; | ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' 74 | #define yynerrs frontend_verilog_yynerrs | ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o clang: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.11' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.36-r1 python3~3.11 yosys=0.36-r1 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.36-r1.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 624.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.36-r1.apk >>> yosys*: Tracing dependencies... abc so:libboost_filesystem.so.1.82.0 so:libboost_python311.so.1.82.0 so:libc.musl-aarch64.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.11.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 44.7 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.36-r1.apk >>> yosys: Build complete at Fri, 19 Jan 2024 00:04:21 +0000 elapsed time 0h 9m 15s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/304) Purging .makedepends-yosys (20240118.235507) (2/304) Purging abc (0_git20240102-r0) (3/304) Purging bash (5.2.26-r0) Executing bash-5.2.26-r0.pre-deinstall (4/304) Purging bison (3.8.2-r1) (5/304) Purging boost-dev (1.82.0-r3) (6/304) Purging boost1.82-dev (1.82.0-r3) (7/304) Purging boost1.82 (1.82.0-r3) (8/304) Purging xz-dev (5.4.5-r0) (9/304) Purging boost1.82-libs (1.82.0-r3) (10/304) Purging boost1.82-atomic (1.82.0-r3) (11/304) Purging boost1.82-container (1.82.0-r3) (12/304) Purging boost1.82-contract (1.82.0-r3) (13/304) Purging boost1.82-coroutine (1.82.0-r3) (14/304) Purging boost1.82-date_time (1.82.0-r3) (15/304) Purging boost1.82-fiber (1.82.0-r3) (16/304) Purging boost1.82-graph (1.82.0-r3) (17/304) Purging boost1.82-iostreams (1.82.0-r3) (18/304) Purging boost1.82-json (1.82.0-r3) (19/304) Purging boost1.82-locale (1.82.0-r3) (20/304) Purging boost1.82-log_setup (1.82.0-r3) (21/304) Purging boost1.82-math (1.82.0-r3) (22/304) Purging boost1.82-nowide (1.82.0-r3) (23/304) Purging boost1.82-prg_exec_monitor (1.82.0-r3) (24/304) Purging boost1.82-program_options (1.82.0-r3) (25/304) Purging boost1.82-python3 (1.82.0-r3) (26/304) Purging boost1.82-random (1.82.0-r3) (27/304) Purging boost1.82-regex (1.82.0-r3) (28/304) Purging boost1.82-stacktrace_basic (1.82.0-r3) (29/304) Purging boost1.82-stacktrace_noop (1.82.0-r3) (30/304) Purging boost1.82-system (1.82.0-r3) (31/304) Purging boost1.82-timer (1.82.0-r3) (32/304) Purging boost1.82-type_erasure (1.82.0-r3) (33/304) Purging boost1.82-unit_test_framework (1.82.0-r3) (34/304) Purging boost1.82-url (1.82.0-r3) (35/304) Purging boost1.82-wave (1.82.0-r3) (36/304) Purging boost1.82-wserialization (1.82.0-r3) (37/304) Purging flex (2.6.4-r6) (38/304) Purging m4 (1.4.19-r3) (39/304) Purging gawk (5.3.0-r0) (40/304) Purging graphviz-dev (9.0.0-r0) (41/304) Purging gd-dev (2.3.3-r8) (42/304) Purging gd (2.3.3-r8) (43/304) Purging libgd (2.3.3-r8) (44/304) Purging gmp-dev (6.3.0-r0) (45/304) Purging libgmpxx (6.3.0-r0) (46/304) Purging libsm-dev (1.2.4-r3) (47/304) Purging pango-dev (1.51.0-r0) (48/304) Purging pango-tools (1.51.0-r0) (49/304) Purging pango (1.51.0-r0) Executing pango-1.51.0-r0.pre-deinstall (50/304) Purging python3-dev (3.11.6-r1) (51/304) Purging graphviz-libs (9.0.0-r0) (52/304) Purging lld (17.0.6-r0) (53/304) Purging protobuf-dev (24.4-r1) (54/304) Purging protoc (24.4-r1) (55/304) Purging libprotobuf-lite (24.4-r1) (56/304) Purging libprotoc (24.4-r1) (57/304) Purging readline-dev (8.2.10-r0) (58/304) Purging libhistory (8.2.10-r0) (59/304) Purging tcl-dev (8.6.13-r1) (60/304) Purging tcl (8.6.13-r1) (61/304) Purging tzdata (2023d-r0) (62/304) Purging abseil-cpp-dev (20230802.1-r0) (63/304) Purging abseil-cpp-atomic-hook-test-helper (20230802.1-r0) (64/304) Purging abseil-cpp-bad-any-cast-impl (20230802.1-r0) (65/304) Purging abseil-cpp-bad-optional-access (20230802.1-r0) (66/304) Purging abseil-cpp-bad-variant-access (20230802.1-r0) (67/304) Purging abseil-cpp-civil-time (20230802.1-r0) (68/304) Purging abseil-cpp-cordz-sample-token (20230802.1-r0) (69/304) Purging abseil-cpp-crc-cpu-detect (20230802.1-r0) (70/304) Purging abseil-cpp-demangle-internal (20230802.1-r0) (71/304) Purging abseil-cpp-exception-safety-testing (20230802.1-r0) (72/304) Purging abseil-cpp-failure-signal-handler (20230802.1-r0) (73/304) Purging abseil-cpp-flags-parse (20230802.1-r0) (74/304) Purging abseil-cpp-flags-usage-internal (20230802.1-r0) (75/304) Purging abseil-cpp-flags-usage (20230802.1-r0) (76/304) Purging abseil-cpp-flags (20230802.1-r0) (77/304) Purging abseil-cpp-graphcycles-internal (20230802.1-r0) (78/304) Purging abseil-cpp-hash-generator-testing (20230802.1-r0) (79/304) Purging abseil-cpp-hashtablez-sampler (20230802.1-r0) (80/304) Purging abseil-cpp-leak-check (20230802.1-r0) (81/304) Purging abseil-cpp-log-entry (20230802.1-r0) (82/304) Purging abseil-cpp-log-flags (20230802.1-r0) (83/304) Purging abseil-cpp-log-internal-test-actions (20230802.1-r0) (84/304) Purging abseil-cpp-log-internal-test-matchers (20230802.1-r0) (85/304) Purging abseil-cpp-per-thread-sem-test-common (20230802.1-r0) (86/304) Purging abseil-cpp-periodic-sampler (20230802.1-r0) (87/304) Purging abseil-cpp-pow10-helper (20230802.1-r0) (88/304) Purging abseil-cpp-random-distributions (20230802.1-r0) (89/304) Purging abseil-cpp-random-internal-distribution-test-util (20230802.1-r0) (90/304) Purging abseil-cpp-random-seed-sequences (20230802.1-r0) (91/304) Purging abseil-cpp-scoped-mock-log (20230802.1-r0) (92/304) Purging abseil-cpp-scoped-set-env (20230802.1-r0) (93/304) Purging abseil-cpp-spinlock-test-common (20230802.1-r0) (94/304) Purging abseil-cpp-stack-consumption (20230802.1-r0) (95/304) Purging abseil-cpp-string-view (20230802.1-r0) (96/304) Purging abseil-cpp-test-instance-tracker (20230802.1-r0) (97/304) Purging abseil-cpp-time-internal-test-util (20230802.1-r0) (98/304) Purging abseil-cpp-flags-internal (20230802.1-r0) (99/304) Purging abseil-cpp-flags-marshalling (20230802.1-r0) (100/304) Purging abseil-cpp-flags-reflection (20230802.1-r0) (101/304) Purging abseil-cpp-log-internal-test-helpers (20230802.1-r0) (102/304) Purging abseil-cpp-log-severity (20230802.1-r0) (103/304) Purging abseil-cpp-random-internal-pool-urbg (20230802.1-r0) (104/304) Purging abseil-cpp-random-internal-randen (20230802.1-r0) (105/304) Purging abseil-cpp-random-internal-randen-hwaes (20230802.1-r0) (106/304) Purging abseil-cpp-random-internal-randen-hwaes-impl (20230802.1-r0) (107/304) Purging abseil-cpp-random-internal-randen-slow (20230802.1-r0) (108/304) Purging abseil-cpp-random-internal-seed-material (20230802.1-r0) (109/304) Purging abseil-cpp-random-seed-gen-exception (20230802.1-r0) (110/304) Purging boost1.82-chrono (1.82.0-r3) (111/304) Purging boost1.82-context (1.82.0-r3) (112/304) Purging boost1.82-log (1.82.0-r3) (113/304) Purging boost1.82-serialization (1.82.0-r3) (114/304) Purging boost1.82-thread (1.82.0-r3) (115/304) Purging clang17 (17.0.6-r0) (116/304) Purging llvm17-linker-tools (17.0.6-r0) (117/304) Purging clang17-headers (17.0.6-r0) (118/304) Purging clang17-libs (17.0.6-r0) (119/304) Purging fribidi-dev (1.0.13-r0) (120/304) Purging fribidi (1.0.13-r0) (121/304) Purging git-perl (2.43.0-r0) (122/304) Purging perl-git (2.43.0-r0) (123/304) Purging perl-error (0.17029-r2) (124/304) Purging perl (5.38.2-r0) (125/304) Purging gmock (1.14.0-r1) (126/304) Purging gtest (1.14.0-r1) (127/304) Purging harfbuzz-dev (8.3.0-r0) (128/304) Purging harfbuzz-cairo (8.3.0-r0) (129/304) Purging harfbuzz-gobject (8.3.0-r0) (130/304) Purging harfbuzz-icu (8.3.0-r0) (131/304) Purging harfbuzz-subset (8.3.0-r0) (132/304) Purging harfbuzz (8.3.0-r0) (133/304) Purging icu-dev (74.2-r0) (134/304) Purging libavif-dev (1.0.3-r0) (135/304) Purging libavif (1.0.3-r0) (136/304) Purging libdav1d (1.3.0-r0) (137/304) Purging libice-dev (1.1.1-r5) (138/304) Purging libprotobuf (24.4-r1) (139/304) Purging libxft-dev (2.3.8-r2) (140/304) Purging libxft (2.3.8-r2) (141/304) Purging libxpm-dev (3.5.17-r0) (142/304) Purging libxpm (3.5.17-r0) (143/304) Purging libxt (1.3.0-r4) (144/304) Purging lld-libs (17.0.6-r0) (145/304) Purging llvm17-libs (17.0.6-r0) (146/304) Purging python3-pyc (3.11.6-r1) (147/304) Purging python3-pycache-pyc0 (3.11.6-r1) (148/304) Purging xcb-proto-pyc (1.16.0-r0) (149/304) Purging pyc (3.11.6-r1) (150/304) Purging scudo-malloc (17.0.6-r0) (151/304) Purging tiff-dev (4.6.0-r0) (152/304) Purging libtiffxx (4.6.0-r0) (153/304) Purging tiff (4.6.0-r0) (154/304) Purging zstd-dev (1.5.5-r8) (155/304) Purging zstd (1.5.5-r8) (156/304) Purging abseil-cpp-die-if-null (20230802.1-r0) (157/304) Purging abseil-cpp-log-internal-message (20230802.1-r0) (158/304) Purging abseil-cpp-statusor (20230802.1-r0) (159/304) Purging abseil-cpp-status (20230802.1-r0) (160/304) Purging abseil-cpp-cord (20230802.1-r0) (161/304) Purging abseil-cpp-cordz-info (20230802.1-r0) (162/304) Purging abseil-cpp-cordz-handle (20230802.1-r0) (163/304) Purging abseil-cpp-flags-config (20230802.1-r0) (164/304) Purging abseil-cpp-flags-program-name (20230802.1-r0) (165/304) Purging abseil-cpp-log-internal-log-sink-set (20230802.1-r0) (166/304) Purging abseil-cpp-synchronization (20230802.1-r0) (167/304) Purging abseil-cpp-malloc-internal (20230802.1-r0) (168/304) Purging abseil-cpp-log-internal-conditions (20230802.1-r0) (169/304) Purging abseil-cpp-base (20230802.1-r0) (170/304) Purging abseil-cpp-raw-hash-set (20230802.1-r0) (171/304) Purging abseil-cpp-log-globals (20230802.1-r0) (172/304) Purging abseil-cpp-hash (20230802.1-r0) (173/304) Purging abseil-cpp-city (20230802.1-r0) (174/304) Purging abseil-cpp-cord-internal (20230802.1-r0) (175/304) Purging abseil-cpp-cordz-functions (20230802.1-r0) (176/304) Purging abseil-cpp-crc-cord-state (20230802.1-r0) (177/304) Purging abseil-cpp-crc32c (20230802.1-r0) (178/304) Purging abseil-cpp-crc-internal (20230802.1-r0) (179/304) Purging abseil-cpp-examine-stack (20230802.1-r0) (180/304) Purging abseil-cpp-stacktrace (20230802.1-r0) (181/304) Purging abseil-cpp-debugging-internal (20230802.1-r0) (182/304) Purging abseil-cpp-exponential-biased (20230802.1-r0) (183/304) Purging abseil-cpp-flags-commandlineflag (20230802.1-r0) (184/304) Purging abseil-cpp-flags-commandlineflag-internal (20230802.1-r0) (185/304) Purging abseil-cpp-flags-private-handle-accessor (20230802.1-r0) (186/304) Purging abseil-cpp-log-internal-format (20230802.1-r0) (187/304) Purging abseil-cpp-kernel-timeout-internal (20230802.1-r0) (188/304) Purging abseil-cpp-time (20230802.1-r0) (189/304) Purging abseil-cpp-str-format-internal (20230802.1-r0) (190/304) Purging abseil-cpp-int128 (20230802.1-r0) (191/304) Purging abseil-cpp-log-initialize (20230802.1-r0) (192/304) Purging abseil-cpp-log-internal-check-op (20230802.1-r0) (193/304) Purging abseil-cpp-log-internal-globals (20230802.1-r0) (194/304) Purging abseil-cpp-log-internal-nullguard (20230802.1-r0) (195/304) Purging abseil-cpp-log-internal-proto (20230802.1-r0) (196/304) Purging abseil-cpp-log-sink (20230802.1-r0) (197/304) Purging abseil-cpp-low-level-hash (20230802.1-r0) (198/304) Purging abseil-cpp-random-internal-platform (20230802.1-r0) (199/304) Purging abseil-cpp-strings (20230802.1-r0) (200/304) Purging abseil-cpp-strings-internal (20230802.1-r0) (201/304) Purging abseil-cpp-raw-logging-internal (20230802.1-r0) (202/304) Purging abseil-cpp-spinlock-wait (20230802.1-r0) (203/304) Purging abseil-cpp-strerror (20230802.1-r0) (204/304) Purging abseil-cpp-symbolize (20230802.1-r0) (205/304) Purging abseil-cpp-throw-delegate (20230802.1-r0) (206/304) Purging abseil-cpp-time-zone (20230802.1-r0) (207/304) Purging aom-libs (3.7.1-r0) (208/304) Purging boost1.82-filesystem (1.82.0-r3) (209/304) Purging graphite2-dev (1.3.14-r6) (210/304) Purging graphite2 (1.3.14-r6) (211/304) Purging cairo-dev (1.18.0-r0) (212/304) Purging cairo-tools (1.18.0-r0) (213/304) Purging xcb-util-dev (0.4.1-r3) (214/304) Purging util-macros (1.20.0-r0) (215/304) Purging xcb-util (0.4.1-r3) (216/304) Purging cairo-gobject (1.18.0-r0) (217/304) Purging cairo (1.18.0-r0) (218/304) Purging fontconfig-dev (2.15.0-r0) (219/304) Purging fontconfig (2.15.0-r0) (220/304) Purging freetype-dev (2.13.2-r0) (221/304) Purging freetype (2.13.2-r0) (222/304) Purging brotli-dev (1.1.0-r1) (223/304) Purging brotli (1.1.0-r1) (224/304) Purging glib-dev (2.78.3-r0) (225/304) Purging bzip2-dev (1.0.8-r6) (226/304) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (227/304) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (228/304) Purging gettext-dev (0.22.4-r0) (229/304) Purging xz (5.4.5-r0) (230/304) Purging gettext-asprintf (0.22.4-r0) (231/304) Purging gettext (0.22.4-r0) (232/304) Purging gettext-envsubst (0.22.4-r0) (233/304) Purging libxml2-utils (2.12.4-r0) (234/304) Purging libxslt (1.1.39-r0) (235/304) Purging pcre2-dev (10.42-r2) (236/304) Purging libpcre2-16 (10.42-r2) (237/304) Purging libpcre2-32 (10.42-r2) (238/304) Purging libedit-dev (20230828.3.1-r4) (239/304) Purging ncurses-dev (6.4_p20231125-r0) (240/304) Purging libncurses++ (6.4_p20231125-r0) (241/304) Purging bsd-compat-headers (0.7.2-r5) (242/304) Purging expat-dev (2.5.0-r2) (243/304) Purging expat (2.5.0-r2) (244/304) Purging libxrender-dev (0.9.11-r4) (245/304) Purging libxrender (0.9.11-r4) (246/304) Purging libxext-dev (1.3.5-r3) (247/304) Purging libxext (1.3.5-r3) (248/304) Purging libx11-dev (1.8.7-r0) (249/304) Purging xtrans (1.5.0-r0) (250/304) Purging libx11 (1.8.7-r0) (251/304) Purging libxcb-dev (1.16-r0) (252/304) Purging libxcb (1.16-r0) (253/304) Purging xcb-proto (1.16.0-r0) (254/304) Purging python3 (3.11.6-r1) (255/304) Purging gdbm (1.23-r1) (256/304) Purging gettext-libs (0.22.4-r0) (257/304) Purging glib (2.78.3-r0) (258/304) Purging icu (74.2-r0) (259/304) Purging icu-libs (74.2-r0) (260/304) Purging icu-data-en (74.2-r0) (261/304) Purging util-linux-dev (2.39.3-r0) (262/304) Purging libfdisk (2.39.3-r0) (263/304) Purging libmount (2.39.3-r0) (264/304) Purging libsmartcols (2.39.3-r0) (265/304) Purging libblkid (2.39.3-r0) (266/304) Purging libxdmcp-dev (1.1.4-r3) (267/304) Purging libxdmcp (1.1.4-r3) (268/304) Purging libbsd (0.11.8-r0) (269/304) Purging libbz2 (1.0.8-r6) (270/304) Purging libffi-dev (3.4.4-r3) (271/304) Purging linux-headers (6.6-r0) (272/304) Purging libffi (3.4.4-r3) (273/304) Purging libformw (6.4_p20231125-r0) (274/304) Purging libgcrypt (1.10.3-r0) (275/304) Purging libgpg-error (1.47-r2) (276/304) Purging libsm (1.2.4-r3) (277/304) Purging libice (1.1.1-r5) (278/304) Purging libintl (0.22.4-r0) (279/304) Purging libjpeg-turbo-dev (3.0.1-r0) (280/304) Purging libturbojpeg (3.0.1-r0) (281/304) Purging libjpeg-turbo (3.0.1-r0) (282/304) Purging libmd (1.1.0-r0) (283/304) Purging libmenuw (6.4_p20231125-r0) (284/304) Purging libpanelw (6.4_p20231125-r0) (285/304) Purging libpng-dev (1.6.40-r0) (286/304) Purging libpng (1.6.40-r0) (287/304) Purging libwebp-dev (1.3.2-r0) (288/304) Purging libwebpdecoder (1.3.2-r0) (289/304) Purging libwebpdemux (1.3.2-r0) (290/304) Purging libwebpmux (1.3.2-r0) (291/304) Purging libwebp (1.3.2-r0) (292/304) Purging libsharpyuv (1.3.2-r0) (293/304) Purging libuuid (2.39.3-r0) (294/304) Purging libxau-dev (1.0.11-r3) (295/304) Purging libxau (1.0.11-r3) (296/304) Purging libxml2 (2.12.4-r0) (297/304) Purging mpdecimal (2.5.1-r2) (298/304) Purging pixman-dev (0.43.0-r0) (299/304) Purging pixman (0.43.0-r0) (300/304) Purging readline (8.2.10-r0) (301/304) Purging sqlite-libs (3.45.0-r0) (302/304) Purging xorgproto (2023.2-r0) (303/304) Purging xz-libs (5.4.5-r0) (304/304) Purging zlib-dev (1.3-r2) Executing busybox-1.36.1-r19.trigger OK: 381 MiB in 105 packages >>> yosys: Updating the testing/aarch64 repository index... >>> yosys: Signing the index...