>>> yosys: Building testing/yosys 0.57-r0 (using abuild 3.16.0_rc4-r0) started Fri, 24 Oct 2025 21:15:06 +0000 >>> yosys: Validating /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base abc bash bison boost-dev flex-dev gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev gtkwave iverilog ( 1/352) Installing abc (0_git20240102-r0) ( 2/352) Installing bash (5.3.3-r1) bash-5.3.3-r1.post-install: Executing script... ( 3/352) Installing m4 (1.4.20-r0) ( 4/352) Installing bison (3.8.2-r2) ( 5/352) Installing boost1.84-atomic (1.84.0-r3) ( 6/352) Installing boost1.84-chrono (1.84.0-r3) ( 7/352) Installing boost1.84-container (1.84.0-r3) ( 8/352) Installing boost1.84-context (1.84.0-r3) ( 9/352) Installing boost1.84-contract (1.84.0-r3) ( 10/352) Installing boost1.84-coroutine (1.84.0-r3) ( 11/352) Installing boost1.84-date_time (1.84.0-r3) ( 12/352) Installing boost1.84-fiber (1.84.0-r3) ( 13/352) Installing boost1.84-filesystem (1.84.0-r3) ( 14/352) Installing boost1.84-graph (1.84.0-r3) ( 15/352) Installing libbz2 (1.0.8-r6) ( 16/352) Installing xz-libs (5.8.1-r0) ( 17/352) Installing boost1.84-iostreams (1.84.0-r3) ( 18/352) Installing boost1.84-thread (1.84.0-r3) ( 19/352) Installing icu-data-en (76.1-r1) icu-data-en-76.1-r1.post-install: Executing script... icu-data-en-76.1-r1.post-install: * icu-data-en-76.1-r1.post-install: * If you need ICU with non-English locales and legacy charset support, install icu-data-en-76.1-r1.post-install: * package icu-data-full. icu-data-en-76.1-r1.post-install: * ( 20/352) Installing icu-libs (76.1-r1) ( 21/352) Installing boost1.84-locale (1.84.0-r3) ( 22/352) Installing boost1.84-log (1.84.0-r3) ( 23/352) Installing boost1.84-log_setup (1.84.0-r3) ( 24/352) Installing boost1.84-math (1.84.0-r3) ( 25/352) Installing boost1.84-prg_exec_monitor (1.84.0-r3) ( 26/352) Installing boost1.84-program_options (1.84.0-r3) ( 27/352) Installing libffi (3.5.2-r0) ( 28/352) Installing gdbm (1.26-r0) ( 29/352) Installing mpdecimal (4.0.1-r0) ( 30/352) Installing libpanelw (6.5_p20251010-r0) ( 31/352) Installing sqlite-libs (3.50.4-r1) ( 32/352) Installing python3 (3.12.12-r0) ( 33/352) Installing python3-pycache-pyc0 (3.12.12-r0) ( 34/352) Installing pyc (3.12.12-r0) ( 35/352) Installing python3-pyc (3.12.12-r0) ( 36/352) Installing boost1.84-python3 (1.84.0-r3) ( 37/352) Installing boost1.84-random (1.84.0-r3) ( 38/352) Installing boost1.84-regex (1.84.0-r3) ( 39/352) Installing boost1.84-serialization (1.84.0-r3) ( 40/352) Installing boost1.84-stacktrace_basic (1.84.0-r3) ( 41/352) Installing boost1.84-stacktrace_noop (1.84.0-r3) ( 42/352) Installing boost1.84-system (1.84.0-r3) ( 43/352) Installing boost1.84-timer (1.84.0-r3) ( 44/352) Installing boost1.84-type_erasure (1.84.0-r3) ( 45/352) Installing boost1.84-unit_test_framework (1.84.0-r3) ( 46/352) Installing boost1.84-url (1.84.0-r3) ( 47/352) Installing boost1.84-wave (1.84.0-r3) ( 48/352) Installing boost1.84-wserialization (1.84.0-r3) ( 49/352) Installing boost1.84-json (1.84.0-r3) ( 50/352) Installing boost1.84-nowide (1.84.0-r3) ( 51/352) Installing boost1.84-libs (1.84.0-r3) ( 52/352) Installing boost1.84 (1.84.0-r3) ( 53/352) Installing linux-headers (6.16.12-r0) ( 54/352) Installing bzip2-dev (1.0.8-r6) ( 55/352) Installing icu (76.1-r1) ( 56/352) Installing icu-dev (76.1-r1) ( 57/352) Installing xz (5.8.1-r0) ( 58/352) Installing xz-dev (5.8.1-r0) ( 59/352) Installing zlib-dev (1.3.1-r2) ( 60/352) Installing zstd (1.5.7-r2) ( 61/352) Installing zstd-dev (1.5.7-r2) ( 62/352) Installing boost1.84-dev (1.84.0-r3) ( 63/352) Installing boost-dev (1.84.0-r3) ( 64/352) Installing flex (2.6.4-r7) ( 65/352) Installing flex-libs (2.6.4-r7) ( 66/352) Installing flex-dev (2.6.4-r7) ( 67/352) Installing gawk (5.3.2-r2) ( 68/352) Installing cairo-tools (1.18.4-r0) ( 69/352) Installing libpng (1.6.49-r0) ( 70/352) Installing freetype (2.13.3-r0) ( 71/352) Installing fontconfig (2.17.1-r0) ( 72/352) Installing expat (2.7.3-r0) ( 73/352) Installing expat-dev (2.7.3-r0) ( 74/352) Installing brotli (1.1.0-r2) ( 75/352) Installing brotli-dev (1.1.0-r2) ( 76/352) Installing libpng-dev (1.6.49-r0) ( 77/352) Installing freetype-dev (2.13.3-r0) ( 78/352) Installing fontconfig-dev (2.17.1-r0) ( 79/352) Installing libxau (1.0.12-r0) ( 80/352) Installing xorgproto (2024.1-r0) ( 81/352) Installing libxau-dev (1.0.12-r0) ( 82/352) Installing libmd (1.1.0-r0) ( 83/352) Installing libbsd (0.12.2-r0) ( 84/352) Installing libxdmcp (1.1.5-r1) ( 85/352) Installing libxcb (1.17.0-r0) ( 86/352) Installing libx11 (1.8.12-r1) ( 87/352) Installing libxext (1.3.6-r2) ( 88/352) Installing xcb-proto (1.17.0-r0) ( 89/352) Installing xcb-proto-pyc (1.17.0-r0) ( 90/352) Installing libxdmcp-dev (1.1.5-r1) ( 91/352) Installing libxcb-dev (1.17.0-r0) ( 92/352) Installing xtrans (1.6.0-r0) ( 93/352) Installing libx11-dev (1.8.12-r1) ( 94/352) Installing libxext-dev (1.3.6-r2) ( 95/352) Installing libxrender (0.9.12-r0) ( 96/352) Installing libxrender-dev (0.9.12-r0) ( 97/352) Installing pixman (0.46.4-r0) ( 98/352) Installing pixman-dev (0.46.4-r0) ( 99/352) Installing util-macros (1.20.2-r0) (100/352) Installing xcb-util (0.4.1-r3) (101/352) Installing xcb-util-dev (0.4.1-r3) (102/352) Installing cairo (1.18.4-r0) (103/352) Installing libintl (0.24.1-r1) (104/352) Installing libeconf (0.8.0-r0) (105/352) Installing libblkid (2.41.2-r0) (106/352) Installing libmount (2.41.2-r0) (107/352) Installing glib (2.86.1-r0) (108/352) Installing cairo-gobject (1.18.4-r0) (109/352) Installing libxml2 (2.13.9-r0) (110/352) Installing libxml2-utils (2.13.9-r0) (111/352) Installing docbook-xml (4.5-r10) docbook-xml-4.5-r10.post-install: Executing script... (112/352) Installing libxslt (1.1.43-r3) (113/352) Installing docbook-xsl-ns (1.79.2-r13) docbook-xsl-ns-1.79.2-r13.post-install: Executing script... (114/352) Installing docbook-xsl-nons (1.79.2-r13) docbook-xsl-nons-1.79.2-r13.post-install: Executing script... (115/352) Installing docbook-xsl (1.79.2-r13) (116/352) Installing gettext-asprintf (0.24.1-r1) (117/352) Installing gettext-libs (0.24.1-r1) (118/352) Installing gettext-envsubst (0.24.1-r1) (119/352) Installing gettext (0.24.1-r1) (120/352) Installing gettext-dev (0.24.1-r1) (121/352) Installing py3-parsing (3.2.3-r0) (122/352) Installing py3-parsing-pyc (3.2.3-r0) (123/352) Installing py3-packaging (25.0-r0) (124/352) Installing py3-packaging-pyc (25.0-r0) (125/352) Installing libffi-dev (3.5.2-r0) (126/352) Installing bsd-compat-headers (0.7.2-r6) (127/352) Installing libformw (6.5_p20251010-r0) (128/352) Installing libmenuw (6.5_p20251010-r0) (129/352) Installing libncurses++ (6.5_p20251010-r0) (130/352) Installing ncurses-dev (6.5_p20251010-r0) (131/352) Installing libedit-dev (20251016.3.1-r0) (132/352) Installing libpcre2-16 (10.46-r0) (133/352) Installing libpcre2-32 (10.46-r0) (134/352) Installing pcre2-dev (10.46-r0) (135/352) Installing libuuid (2.41.2-r0) (136/352) Installing libfdisk (2.41.2-r0) (137/352) Installing liblastlog2 (2.41.2-r0) (138/352) Installing libsmartcols (2.41.2-r0) (139/352) Installing sqlite (3.50.4-r1) (140/352) Installing sqlite-dev (3.50.4-r1) (141/352) Installing util-linux-dev (2.41.2-r0) (142/352) Installing glib-dev (2.86.1-r0) (143/352) Installing cairo-dev (1.18.4-r0) (144/352) Installing libice (1.1.2-r0) (145/352) Installing libsm (1.2.6-r0) (146/352) Installing libxt (1.3.1-r0) (147/352) Installing libxpm (3.5.17-r0) (148/352) Installing aom-libs (3.13.1-r0) (149/352) Installing libdav1d (1.5.1-r0) (150/352) Installing libjpeg-turbo (3.1.2-r0) (151/352) Installing libyuv (0.0.1887.20251502-r1) (152/352) Installing libavif (1.3.0-r0) (153/352) Installing libsharpyuv (1.6.0-r0) (154/352) Installing libwebp (1.6.0-r0) (155/352) Installing tiff (4.7.1-r0) (156/352) Installing libgd (2.3.3-r10) (157/352) Installing gd (2.3.3-r10) (158/352) Installing perl (5.42.0-r0) (159/352) Installing aom-dev (3.13.1-r0) (160/352) Installing dav1d-dev (1.5.1-r0) (161/352) Installing libavif-dev (1.3.0-r0) (162/352) Installing libturbojpeg (3.1.2-r0) (163/352) Installing libjpeg-turbo-dev (3.1.2-r0) (164/352) Installing libtiffxx (4.7.1-r0) (165/352) Installing libwebpdecoder (1.6.0-r0) (166/352) Installing libwebpdemux (1.6.0-r0) (167/352) Installing libwebpmux (1.6.0-r0) (168/352) Installing libwebp-dev (1.6.0-r0) (169/352) Installing tiff-dev (4.7.1-r0) (170/352) Installing libxpm-dev (3.5.17-r0) (171/352) Installing gd-dev (2.3.3-r10) (172/352) Installing libgmpxx (6.3.0-r4) (173/352) Installing gmp-dev (6.3.0-r4) (174/352) Installing libice-dev (1.1.2-r0) (175/352) Installing libsm-dev (1.2.6-r0) (176/352) Installing libxft (2.3.9-r0) (177/352) Installing graphite2 (1.3.14-r6) (178/352) Installing harfbuzz (11.3.2-r0) (179/352) Installing fribidi (1.0.16-r2) (180/352) Installing pango (1.56.4-r0) (181/352) Installing pango-tools (1.56.4-r0) (182/352) Installing fribidi-dev (1.0.16-r2) (183/352) Installing harfbuzz-cairo (11.3.2-r0) (184/352) Installing harfbuzz-gobject (11.3.2-r0) (185/352) Installing harfbuzz-icu (11.3.2-r0) (186/352) Installing harfbuzz-subset (11.3.2-r0) (187/352) Installing graphite2-dev (1.3.14-r6) (188/352) Installing harfbuzz-dev (11.3.2-r0) (189/352) Installing libxft-dev (2.3.9-r0) (190/352) Installing pango-dev (1.56.4-r0) (191/352) Installing python3-dev (3.12.12-r0) (192/352) Installing graphviz-libs (12.2.1-r0) (193/352) Installing graphviz-dev (12.2.1-r0) (194/352) Installing llvm21-libs (21.1.2-r1) (195/352) Installing lld21-libs (21.1.2-r1) (196/352) Installing scudo-malloc (21.1.2-r0) (197/352) Installing lld21 (21.1.2-r1) (198/352) Installing abseil-cpp-raw-logging-internal (20250814.1-r0) (199/352) Installing abseil-cpp-crc-internal (20250814.1-r0) (200/352) Installing abseil-cpp-crc32c (20250814.1-r0) (201/352) Installing abseil-cpp-crc-cord-state (20250814.1-r0) (202/352) Installing abseil-cpp-int128 (20250814.1-r0) (203/352) Installing abseil-cpp-strings-internal (20250814.1-r0) (204/352) Installing abseil-cpp-strings (20250814.1-r0) (205/352) Installing abseil-cpp-cord-internal (20250814.1-r0) (206/352) Installing abseil-cpp-exponential-biased (20250814.1-r0) (207/352) Installing abseil-cpp-cordz-functions (20250814.1-r0) (208/352) Installing abseil-cpp-spinlock-wait (20250814.1-r0) (209/352) Installing abseil-cpp-base (20250814.1-r0) (210/352) Installing abseil-cpp-time-zone (20250814.1-r0) (211/352) Installing abseil-cpp-time (20250814.1-r0) (212/352) Installing abseil-cpp-kernel-timeout-internal (20250814.1-r0) (213/352) Installing abseil-cpp-malloc-internal (20250814.1-r0) (214/352) Installing abseil-cpp-stacktrace (20250814.1-r0) (215/352) Installing abseil-cpp-tracing-internal (20250814.1-r0) (216/352) Installing abseil-cpp-synchronization (20250814.1-r0) (217/352) Installing abseil-cpp-cordz-handle (20250814.1-r0) (218/352) Installing abseil-cpp-cordz-info (20250814.1-r0) (219/352) Installing abseil-cpp-cord (20250814.1-r0) (220/352) Installing abseil-cpp-city (20250814.1-r0) (221/352) Installing abseil-cpp-hash (20250814.1-r0) (222/352) Installing abseil-cpp-log-internal-globals (20250814.1-r0) (223/352) Installing abseil-cpp-log-initialize (20250814.1-r0) (224/352) Installing abseil-cpp-leak-check (20250814.1-r0) (225/352) Installing abseil-cpp-log-internal-nullguard (20250814.1-r0) (226/352) Installing abseil-cpp-log-internal-check-op (20250814.1-r0) (227/352) Installing abseil-cpp-log-internal-conditions (20250814.1-r0) (228/352) Installing abseil-cpp-symbolize (20250814.1-r0) (229/352) Installing abseil-cpp-examine-stack (20250814.1-r0) (230/352) Installing abseil-cpp-log-globals (20250814.1-r0) (231/352) Installing abseil-cpp-str-format-internal (20250814.1-r0) (232/352) Installing abseil-cpp-log-internal-format (20250814.1-r0) (233/352) Installing abseil-cpp-log-sink (20250814.1-r0) (234/352) Installing abseil-cpp-log-internal-log-sink-set (20250814.1-r0) (235/352) Installing abseil-cpp-log-internal-proto (20250814.1-r0) (236/352) Installing abseil-cpp-log-internal-structured-proto (20250814.1-r0) (237/352) Installing abseil-cpp-strerror (20250814.1-r0) (238/352) Installing abseil-cpp-log-internal-message (20250814.1-r0) (239/352) Installing abseil-cpp-hashtablez-sampler (20250814.1-r0) (240/352) Installing abseil-cpp-raw-hash-set (20250814.1-r0) (241/352) Installing abseil-cpp-status (20250814.1-r0) (242/352) Installing abseil-cpp-statusor (20250814.1-r0) (243/352) Installing abseil-cpp-throw-delegate (20250814.1-r0) (244/352) Installing abseil-cpp-die-if-null (20250814.1-r0) (245/352) Installing libprotobuf-lite (31.1-r1) (246/352) Installing protobuf (31.1-r1) (247/352) Installing libprotobuf (31.1-r1) (248/352) Installing libprotoc (31.1-r1) (249/352) Installing protoc (31.1-r1) (250/352) Installing abseil-cpp-civil-time (20250814.1-r0) (251/352) Installing abseil-cpp-cordz-sample-token (20250814.1-r0) (252/352) Installing abseil-cpp-crc-cpu-detect (20250814.1-r0) (253/352) Installing abseil-cpp-debugging-internal (20250814.1-r0) (254/352) Installing abseil-cpp-utf8-for-code-point (20250814.1-r0) (255/352) Installing abseil-cpp-decode-rust-punycode (20250814.1-r0) (256/352) Installing abseil-cpp-demangle-rust (20250814.1-r0) (257/352) Installing abseil-cpp-demangle-internal (20250814.1-r0) (258/352) Installing gtest (1.17.0-r0) (259/352) Installing abseil-cpp-exception-safety-testing (20250814.1-r0) (260/352) Installing abseil-cpp-failure-signal-handler (20250814.1-r0) (261/352) Installing abseil-cpp-flags-commandlineflag-internal (20250814.1-r0) (262/352) Installing abseil-cpp-flags-commandlineflag (20250814.1-r0) (263/352) Installing abseil-cpp-flags-program-name (20250814.1-r0) (264/352) Installing abseil-cpp-flags-config (20250814.1-r0) (265/352) Installing abseil-cpp-flags-internal (20250814.1-r0) (266/352) Installing abseil-cpp-flags-marshalling (20250814.1-r0) (267/352) Installing abseil-cpp-flags-private-handle-accessor (20250814.1-r0) (268/352) Installing abseil-cpp-flags-reflection (20250814.1-r0) (269/352) Installing abseil-cpp-flags-usage (20250814.1-r0) (270/352) Installing abseil-cpp-flags-usage-internal (20250814.1-r0) (271/352) Installing abseil-cpp-flags-parse (20250814.1-r0) (272/352) Installing abseil-cpp-graphcycles-internal (20250814.1-r0) (273/352) Installing abseil-cpp-random-internal-platform (20250814.1-r0) (274/352) Installing abseil-cpp-random-internal-randen-slow (20250814.1-r0) (275/352) Installing abseil-cpp-random-internal-randen (20250814.1-r0) (276/352) Installing abseil-cpp-random-internal-seed-material (20250814.1-r0) (277/352) Installing abseil-cpp-random-seed-gen-exception (20250814.1-r0) (278/352) Installing abseil-cpp-random-internal-entropy-pool (20250814.1-r0) (279/352) Installing abseil-cpp-hash-generator-testing (20250814.1-r0) (280/352) Installing abseil-cpp-profile-builder (20250814.1-r0) (281/352) Installing abseil-cpp-hashtable-profiler (20250814.1-r0) (282/352) Installing abseil-cpp-log-severity (20250814.1-r0) (283/352) Installing abseil-cpp-log-entry (20250814.1-r0) (284/352) Installing abseil-cpp-log-internal-fnmatch (20250814.1-r0) (285/352) Installing abseil-cpp-vlog-config-internal (20250814.1-r0) (286/352) Installing abseil-cpp-log-flags (20250814.1-r0) (287/352) Installing abseil-cpp-log-internal-test-actions (20250814.1-r0) (288/352) Installing abseil-cpp-log-internal-test-helpers (20250814.1-r0) (289/352) Installing abseil-cpp-log-internal-test-matchers (20250814.1-r0) (290/352) Installing abseil-cpp-per-thread-sem-test-common (20250814.1-r0) (291/352) Installing abseil-cpp-periodic-sampler (20250814.1-r0) (292/352) Installing abseil-cpp-poison (20250814.1-r0) (293/352) Installing abseil-cpp-pow10-helper (20250814.1-r0) (294/352) Installing abseil-cpp-random-distributions (20250814.1-r0) (295/352) Installing abseil-cpp-random-internal-distribution-test-util (20250814.1-r0) (296/352) Installing abseil-cpp-random-internal-randen-hwaes-impl (20250814.1-r0) (297/352) Installing abseil-cpp-random-internal-randen-hwaes (20250814.1-r0) (298/352) Installing abseil-cpp-random-seed-sequences (20250814.1-r0) (299/352) Installing gmock (1.17.0-r0) (300/352) Installing abseil-cpp-scoped-mock-log (20250814.1-r0) (301/352) Installing abseil-cpp-scoped-set-env (20250814.1-r0) (302/352) Installing abseil-cpp-spinlock-test-common (20250814.1-r0) (303/352) Installing abseil-cpp-stack-consumption (20250814.1-r0) (304/352) Installing abseil-cpp-status-matchers (20250814.1-r0) (305/352) Installing abseil-cpp-string-view (20250814.1-r0) (306/352) Installing abseil-cpp-test-instance-tracker (20250814.1-r0) (307/352) Installing abseil-cpp-time-internal-test-util (20250814.1-r0) (308/352) Installing abseil-cpp-dev (20250814.1-r0) (309/352) Installing protobuf-dev (31.1-r1) (310/352) Installing libhistory (8.3.1-r0) (311/352) Installing readline-dev (8.3.1-r0) (312/352) Installing tzdata (2025b-r0) (313/352) Installing tcl (8.6.17-r0) (314/352) Installing tcl-dev (8.6.17-r0) (315/352) Installing desktop-file-utils (0.28-r0) (316/352) Installing gobject-introspection (1.86.0-r0) (317/352) Installing hicolor-icon-theme (0.18-r0) (318/352) Installing shared-mime-info (2.4-r6) (319/352) Installing gdk-pixbuf (2.44.4-r0) (320/352) Installing gtk-update-icon-cache (3.24.51-r0) (321/352) Installing libxcomposite (0.4.6-r5) (322/352) Installing libxfixes (6.0.2-r0) (323/352) Installing libxcursor (1.2.3-r0) (324/352) Installing libxdamage (1.1.6-r5) (325/352) Installing libxi (1.8.2-r0) (326/352) Installing libxinerama (1.1.5-r4) (327/352) Installing libxrandr (1.5.4-r1) (328/352) Installing libatk-1.0 (2.58.1-r0) (329/352) Installing dbus-libs (1.16.2-r1) (330/352) Installing at-spi2-core-libs (2.58.1-r0) (331/352) Installing libxtst (1.2.5-r0) (332/352) Installing at-spi2-core (2.58.1-r0) (333/352) Installing libatk-bridge-2.0 (2.58.1-r0) (334/352) Installing avahi-libs (0.8-r22) (335/352) Installing nettle (3.10.2-r0) (336/352) Installing libtasn1 (4.20.0-r0) (337/352) Installing p11-kit (0.25.5-r2) (338/352) Installing gnutls (3.8.8-r0) (339/352) Installing cups-libs (2.4.13-r0) (340/352) Installing libepoxy (1.5.10-r1) (341/352) Installing wayland-libs-client (1.24.0-r0) (342/352) Installing wayland-libs-cursor (1.24.0-r0) (343/352) Installing wayland-libs-egl (1.24.0-r0) (344/352) Installing xkeyboard-config (2.46-r0) (345/352) Installing libxkbcommon (1.11.0-r0) (346/352) Installing gtk+3.0 (3.24.51-r0) (347/352) Installing gtkwave (3.3.120-r0) (348/352) Installing iverilog (12.0-r3) (349/352) Installing .makedepends-yosys (20251024.211508) (350/352) Installing perl-error (0.17030-r0) (351/352) Installing perl-git (2.51.1-r1) (352/352) Installing git-perl (2.51.1-r1) busybox-1.37.0-r24.trigger: Executing script... glib-2.86.1-r0.trigger: Executing script... desktop-file-utils-0.28-r0.trigger: Executing script... shared-mime-info-2.4-r6.trigger: Executing script... gdk-pixbuf-2.44.4-r0.trigger: Executing script... gtk-update-icon-cache-3.24.51-r0.trigger: Executing script... gtk+3.0-3.24.51-r0.trigger: Executing script... OK: 839 MiB in 457 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Cleaning up tmpdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.57.tar.gz /var/cache/distfiles/edge/yosys-0.57.tar.gz: OK >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.57.tar.gz /var/cache/distfiles/edge/yosys-0.57.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.57.tar.gz... [Makefile.conf] CONFIG:=gcc [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.12 -lboost_python312 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 [ 0%] Building kernel/version_3aca86049e79a165932e3e7660358376f45acaed.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 1%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/io.o [ 2%] Building kernel/gzip.o [ 3%] Building kernel/log_help.o [ 3%] Building kernel/binding.o [ 3%] Building kernel/tclapi.o [ 3%] Building kernel/cellaigs.o [ 4%] Building kernel/celledges.o [ 4%] Building kernel/cost.o [ 4%] Building kernel/satgen.o [ 4%] Building kernel/scopeinfo.o [ 5%] Building kernel/qcsat.o [ 5%] Building kernel/mem.o [ 5%] Building kernel/ffmerge.o [ 6%] Building kernel/ff.o [ 6%] Building kernel/yw.o [ 6%] Building kernel/json.o [ 6%] Building kernel/fmt.o [ 7%] Building kernel/sexpr.o [ 7%] Building kernel/drivertools.o [ 7%] Building kernel/functional.o [ 7%] Building kernel/fstdata.o [ 8%] Building libs/bigint/BigIntegerAlgorithms.o [ 8%] Building libs/bigint/BigInteger.o [ 8%] Building libs/bigint/BigIntegerUtils.o [ 9%] Building libs/bigint/BigUnsigned.o [ 9%] Building libs/bigint/BigUnsignedInABase.o [ 9%] Building libs/sha1/sha1.o [ 9%] Building libs/json11/json11.o [ 10%] Building libs/ezsat/ezsat.o [ 10%] Building libs/ezsat/ezminisat.o [ 10%] Building libs/minisat/Options.o [ 10%] Building libs/minisat/SimpSolver.o [ 11%] Building libs/minisat/Solver.o [ 11%] Building libs/minisat/System.o [ 11%] Building libs/fst/fstapi.o [ 12%] Building libs/fst/fastlz.o [ 12%] Building libs/fst/lz4.o [ 12%] Building libs/subcircuit/subcircuit.o [ 12%] Building frontends/aiger/aigerparse.o [ 13%] Building frontends/aiger2/xaiger.o [ 13%] Building frontends/ast/ast.o [ 13%] Building frontends/ast/simplify.o [ 13%] Building frontends/ast/genrtlil.o [ 14%] Building frontends/ast/dpicall.o [ 14%] Building frontends/ast/ast_binding.o [ 14%] Building frontends/blif/blifparse.o [ 15%] Building frontends/json/jsonparse.o [ 15%] Building frontends/liberty/liberty.o [ 15%] Building frontends/rpc/rpc_frontend.o [ 15%] Building frontends/rtlil/rtlil_parser.tab.cc [ 15%] Building frontends/rtlil/rtlil_lexer.cc [ 16%] Building frontends/rtlil/rtlil_frontend.o [ 16%] Building frontends/verific/verific.o [ 16%] Building frontends/verilog/verilog_parser.tab.cc [ 18%] Building frontends/verilog/verilog_error.o [ 18%] Building frontends/verilog/const2ast.o [ 18%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 19%] Building passes/cmds/delete.o [ 19%] Building passes/cmds/design.o [ 19%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 20%] Building passes/cmds/viz.o [ 20%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 21%] Building passes/cmds/connect.o [ 21%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 22%] Building passes/cmds/splitcells.o [ 22%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/internal_stats.o [ 22%] Building passes/cmds/setattr.o [ 23%] Building passes/cmds/copy.o [ 23%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 24%] Building passes/cmds/glift.o In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:125:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' 125 | capacity(size); | ~~~~~~~~^~~~~~ libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' 48 | void reserve(K key) { map.growTo(index(key)+1); } | ~~~~~~~~~~^~~~~~~~~~~~~~ libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' 338 | void init (const K& idx){ occs.reserve(idx); occs[idx].clear(); dirty.reserve(idx, 0); } | ~~~~~~~~~~~~^~~~~ libs/minisat/Solver.cc:134:19: required from here 134 | watches .init(mkLit(v, false)); | ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:107:35: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 107 | ((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:125:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' 125 | capacity(size); | ~~~~~~~~^~~~~~ libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' 48 | void reserve(K key) { map.growTo(index(key)+1); } | ~~~~~~~~~~^~~~~~~~~~~~~~ libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' 338 | void init (const K& idx){ occs.reserve(idx); occs[idx].clear(); dirty.reserve(idx, 0); } | ~~~~~~~~~~~~^~~~~ libs/minisat/SimpSolver.cc:92:26: required from here 92 | occurs .init (v); | ~~~~~~~~~~~~~~~~~^~~ libs/minisat/Vec.h:107:35: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 107 | ((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ [ 24%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 25%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o kernel/fmt.cc: In member function 'std::string Yosys::Fmt::render() const': kernel/fmt.cc:808:78: warning: left operand of comma operator has no effect [-Wunused-value] 808 | buf += (part.hex_upper ? "0123456789ABCDEF" : "0123456789abcdef")[subvalue.as_int()]; | ~~~~~^~~~~~~~~ [ 26%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 27%] Building passes/cmds/chformal.o [ 27%] Building passes/cmds/chtype.o [ 27%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 28%] Building passes/cmds/bugpoint.o [ 28%] Building passes/cmds/scratchpad.o [ 28%] Building passes/cmds/logger.o [ 29%] Building passes/cmds/printattrs.o [ 29%] Building passes/cmds/sta.o [ 29%] Building passes/cmds/clean_zerowidth.o [ 30%] Building passes/cmds/xprop.o [ 30%] Building passes/cmds/dft_tag.o [ 30%] Building passes/cmds/future.o [ 30%] Building passes/cmds/box_derive.o [ 31%] Building passes/cmds/example_dt.o [ 31%] Building passes/cmds/portarcs.o [ 31%] Building passes/cmds/wrapcell.o [ 32%] Building passes/cmds/setenv.o [ 32%] Building passes/cmds/abstract.o [ 32%] Building passes/cmds/test_select.o [ 32%] Building passes/cmds/timeest.o [ 33%] Building passes/cmds/linecoverage.o [ 33%] Building passes/equiv/equiv_make.o [ 33%] Building passes/equiv/equiv_miter.o In file included from ./kernel/yosys.h:42, from ./kernel/timinginfo.h:24, from passes/cmds/portarcs.cc:21: passes/cmds/portarcs.cc: In member function 'virtual void {anonymous}::PortarcsPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/cmds/portarcs.cc:207:35: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector >::size_type' {aka 'unsigned int'} [-Wformat=] 207 | log_debug("Allocated %lux%d\n", allocated.size(), inputs.size()); | ^~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~ | | | std::vector >::size_type {aka unsigned int} ./kernel/log.h:151:52: note: in definition of macro 'log_debug' 151 | # define log_debug(...) do { if (ys_debug(1)) log(__VA_ARGS__); } while (0) | ^~~~~~~~~~~ passes/cmds/portarcs.cc:207:48: note: format string is defined here 207 | log_debug("Allocated %lux%d\n", allocated.size(), inputs.size()); | ~~^ | | | long unsigned int | %u [ 33%] Building passes/equiv/equiv_simple.o [ 34%] Building passes/equiv/equiv_status.o [ 34%] Building passes/equiv/equiv_add.o In file included from /usr/include/c++/15.2.0/armv7-alpine-linux-musleabihf/bits/c++allocator.h:33, from /usr/include/c++/15.2.0/bits/allocator.h:46, from /usr/include/c++/15.2.0/bits/stl_tree.h:66, from /usr/include/c++/15.2.0/map:64, from ./kernel/yosys_common.h:24, from ./kernel/rtlil.h:23, from ./kernel/drivertools.h:25, from kernel/drivertools.cc:20: In member function 'void std::__new_allocator<_Tp>::construct(_Up*, _Args&& ...) [with _Up = Yosys::RTLIL::State; _Args = {const Yosys::RTLIL::State&}; _Tp = Yosys::RTLIL::State]', inlined from 'static void std::allocator_traits >::construct(allocator_type&, _Up*, _Args&& ...) [with _Up = Yosys::RTLIL::State; _Args = {const Yosys::RTLIL::State&}; _Tp = Yosys::RTLIL::State]' at /usr/include/c++/15.2.0/bits/alloc_traits.h:674:17, inlined from 'void std::vector<_Tp, _Alloc>::_M_realloc_append(_Args&& ...) [with _Args = {const Yosys::RTLIL::State&}; _Tp = Yosys::RTLIL::State; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/vector.tcc:586:26, inlined from 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = Yosys::RTLIL::State; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/stl_vector.h:1427:21, inlined from 'bool Yosys::DriveChunkMultiple::try_append(const Yosys::DriveBitMultiple&)' at kernel/drivertools.cc:263:39, inlined from 'bool Yosys::DriveChunkMultiple::try_append(const Yosys::DriveBitMultiple&)' at kernel/drivertools.cc:247:6: /usr/include/c++/15.2.0/bits/new_allocator.h:191:11: warning: 'constant' may be used uninitialized [-Wmaybe-uninitialized] 191 | { ::new((void *)__p) _Up(std::forward<_Args>(__args)...); } | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/drivertools.cc: In member function 'bool Yosys::DriveChunkMultiple::try_append(const Yosys::DriveBitMultiple&)': kernel/drivertools.cc:252:15: note: 'constant' was declared here 252 | State constant; | ^~~~~~~~ [ 34%] Building passes/equiv/equiv_remove.o [ 35%] Building passes/equiv/equiv_induct.o [ 35%] Building passes/equiv/equiv_struct.o [ 35%] Building passes/equiv/equiv_purge.o In file included from /usr/include/c++/15.2.0/map:64, from ./kernel/yosys_common.h:24, from ./kernel/yosys.h:40, from ./kernel/celltypes.h:23, from passes/cmds/stat.cc:22: /usr/include/c++/15.2.0/bits/stl_tree.h: In function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_emplace_hint_unique(const_iterator, _Args&& ...) [with _Args = {const std::piecewise_construct_t&, std::tuple, std::tuple<>}; _Key = Yosys::RTLIL::IdString; _Val = std::pair; _KeyOfValue = std::_Select1st >; _Compare = std::less; _Alloc = std::allocator >]': /usr/include/c++/15.2.0/bits/stl_tree.h:3080:7: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 3080 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 35%] Building passes/equiv/equiv_mark.o In file included from /usr/include/c++/15.2.0/map:65: /usr/include/c++/15.2.0/bits/stl_map.h: In member function 'std::map<_Key, _Tp, _Compare, _Alloc>::mapped_type& std::map<_Key, _Tp, _Compare, _Alloc>::operator[](const key_type&) [with _Key = Yosys::RTLIL::IdString; _Tp = {anonymous}::statdata_t; _Compare = std::less; _Alloc = std::allocator >]': /usr/include/c++/15.2.0/bits/stl_map.h:536:44: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 536 | __i = _M_t._M_emplace_hint_unique(__i, std::piecewise_construct, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 537 | std::tuple(__k), | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 538 | std::tuple<>()); | ~~~~~~~~~~~~~~~ [ 36%] Building passes/equiv/equiv_opt.o In file included from ./kernel/yosys.h:42, from passes/cmds/abstract.cc:1: passes/cmds/abstract.cc: In member function 'virtual void {anonymous}::AbstractPass::execute(std::vector >, Yosys::RTLIL::Design*)': ./kernel/log.h:227:77: warning: this statement may fall through [-Wimplicit-fallthrough=] 227 | # define log_assert(_assert_expr_) YOSYS_NAMESPACE_PREFIX log_assert_worker(_assert_expr_, #_assert_expr_, __FILE__, __LINE__) passes/cmds/abstract.cc:471:41: note: in expansion of macro 'log_assert' 471 | log_assert(false); | ^~~~~~~~~~ passes/cmds/abstract.cc:472:33: note: here 472 | case Enable::ActiveLow: | ^~~~ [ 36%] Building passes/fsm/fsm.o [ 36%] Building passes/fsm/fsm_detect.o [ 36%] Building passes/fsm/fsm_extract.o [ 37%] Building passes/fsm/fsm_opt.o [ 37%] Building passes/fsm/fsm_expand.o [ 37%] Building passes/fsm/fsm_recode.o [ 38%] Building passes/fsm/fsm_info.o [ 38%] Building passes/fsm/fsm_export.o [ 38%] Building passes/fsm/fsm_map.o [ 38%] Building passes/hierarchy/flatten.o [ 39%] Building passes/hierarchy/hierarchy.o [ 39%] Building passes/hierarchy/uniquify.o [ 39%] Building passes/hierarchy/submod.o [ 39%] Building passes/hierarchy/keep_hierarchy.o [ 40%] Building passes/memory/memory.o [ 40%] Building passes/memory/memory_dff.o [ 40%] Building passes/memory/memory_share.o [ 41%] Building passes/memory/memory_collect.o [ 41%] Building passes/memory/memory_unpack.o [ 41%] Building passes/memory/memory_bram.o [ 41%] Building passes/memory/memory_map.o [ 42%] Building passes/memory/memory_memx.o [ 42%] Building passes/memory/memory_nordff.o [ 42%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 43%] Building passes/memory/memory_bmux2rom.o [ 43%] Building passes/memory/memlib.o [ 43%] Building passes/opt/opt.o [ 44%] Building passes/opt/opt_merge.o [ 44%] Building passes/opt/opt_mem.o [ 44%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 45%] Building passes/opt/opt_mem_widen.o [ 45%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 46%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 47%] Building passes/opt/opt_hier.o [ 47%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 48%] Building passes/opt/rmports.o [ 48%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 48%] Building passes/opt/opt_ffinv.o In member function 'std::map<_Key, _Tp, _Compare, _Alloc>::mapped_type& std::map<_Key, _Tp, _Compare, _Alloc>::operator[](const key_type&) [with _Key = Yosys::RTLIL::IdString; _Tp = {anonymous}::statdata_t; _Compare = std::less; _Alloc = std::allocator >]', inlined from '{anonymous}::statdata_t {anonymous}::hierarchy_builder(const Yosys::RTLIL::Design*, const Yosys::RTLIL::Module*, std::map&, bool, Yosys::hashlib::dict&, std::string)' at passes/cmds/stat.cc:796:24: /usr/include/c++/15.2.0/bits/stl_map.h:536:44: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 536 | __i = _M_t._M_emplace_hint_unique(__i, std::piecewise_construct, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 537 | std::tuple(__k), | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 538 | std::tuple<>()); | ~~~~~~~~~~~~~~~ [ 49%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/opt/peepopt_pm.h [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building techlibs/ice40/ice40_dsp_pm.h [ 49%] Building techlibs/xilinx/xilinx_srl_pm.h [ 50%] Building passes/proc/proc.o [ 50%] Building passes/proc/proc_prune.o [ 50%] Building passes/proc/proc_clean.o [ 51%] Building passes/proc/proc_rmdead.o [ 51%] Building passes/proc/proc_init.o [ 51%] Building passes/proc/proc_arst.o [ 51%] Building passes/proc/proc_rom.o [ 52%] Building passes/proc/proc_mux.o [ 52%] Building passes/proc/proc_dlatch.o [ 52%] Building passes/proc/proc_dff.o [ 53%] Building passes/proc/proc_memwr.o [ 53%] Building passes/sat/sat.o [ 53%] Building passes/sat/freduce.o [ 53%] Building passes/sat/eval.o [ 54%] Building passes/sat/sim.o [ 54%] Building passes/sat/miter.o [ 54%] Building passes/sat/expose.o [ 54%] Building passes/sat/assertpmux.o [ 55%] Building passes/sat/clk2fflogic.o [ 55%] Building passes/sat/async2sync.o [ 55%] Building passes/sat/formalff.o [ 56%] Building passes/sat/supercover.o [ 56%] Building passes/sat/fmcombine.o [ 56%] Building passes/sat/mutate.o [ 56%] Building passes/sat/cutpoint.o [ 57%] Building passes/sat/fminit.o [ 57%] Building passes/sat/recover_names.o [ 57%] Building passes/sat/qbfsat.o [ 57%] Building passes/sat/synthprop.o [ 58%] Building passes/techmap/techmap.o [ 58%] Building passes/techmap/simplemap.o [ 58%] Building passes/techmap/dfflibmap.o [ 59%] Building passes/techmap/maccmap.o [ 59%] Building passes/techmap/booth.o [ 59%] Building passes/techmap/libparse.o [ 59%] Building passes/techmap/libcache.o [ 60%] Building passes/techmap/abc.o [ 60%] Building passes/techmap/abc9.o [ 60%] Building passes/techmap/abc9_exe.o [ 61%] Building passes/techmap/abc9_ops.o [ 61%] Building passes/techmap/abc_new.o [ 61%] Building passes/techmap/iopadmap.o [ 61%] Building passes/techmap/clkbufmap.o [ 62%] Building passes/techmap/hilomap.o [ 62%] Building passes/techmap/extract.o [ 62%] Building passes/techmap/extract_fa.o [ 62%] Building passes/techmap/extract_counter.o [ 63%] Building passes/techmap/extract_reduce.o [ 63%] Building passes/techmap/alumacc.o [ 63%] Building passes/techmap/dffinit.o [ 64%] Building passes/techmap/pmuxtree.o [ 64%] Building passes/techmap/bmuxmap.o [ 64%] Building passes/techmap/demuxmap.o [ 64%] Building passes/techmap/bwmuxmap.o [ 65%] Building passes/techmap/muxcover.o [ 65%] Building passes/techmap/aigmap.o [ 65%] Building passes/techmap/tribuf.o [ 65%] Building passes/techmap/lut2mux.o [ 66%] Building passes/techmap/nlutmap.o [ 66%] Building passes/techmap/shregmap.o [ 66%] Building passes/techmap/deminout.o [ 67%] Building passes/techmap/insbuf.o [ 67%] Building passes/techmap/bufnorm.o [ 67%] Building passes/techmap/attrmvcp.o [ 67%] Building passes/techmap/attrmap.o [ 68%] Building passes/techmap/zinit.o [ 68%] Building passes/techmap/dfflegalize.o [ 68%] Building passes/techmap/dffunmap.o [ 68%] Building passes/techmap/flowmap.o [ 69%] Building passes/techmap/extractinv.o [ 69%] Building passes/techmap/cellmatch.o [ 69%] Building passes/techmap/clockgate.o [ 70%] Building passes/techmap/constmap.o [ 70%] Building passes/tests/test_autotb.o [ 70%] Building passes/tests/test_cell.o [ 70%] Building passes/tests/test_abcloop.o [ 71%] Building passes/tests/raise_error.o [ 71%] Building backends/aiger/aiger.o [ 71%] Building backends/aiger/xaiger.o [ 71%] Building backends/aiger2/aiger.o [ 72%] Building backends/blif/blif.o [ 72%] Building backends/btor/btor.o [ 72%] Building backends/cxxrtl/cxxrtl_backend.o [ 73%] Building backends/edif/edif.o [ 73%] Building backends/firrtl/firrtl.o [ 73%] Building backends/functional/cxx.o [ 73%] Building backends/functional/smtlib.o [ 74%] Building backends/functional/smtlib_rosette.o [ 74%] Building backends/functional/test_generic.o [ 74%] Building backends/intersynth/intersynth.o [ 74%] Building backends/jny/jny.o [ 75%] Building backends/json/json.o [ 75%] Building backends/rtlil/rtlil_backend.o [ 75%] Building backends/simplec/simplec.o [ 76%] Building backends/smt2/smt2.o [ 76%] Building backends/smv/smv.o [ 76%] Building backends/spice/spice.o [ 76%] Building backends/table/table.o [ 77%] Building backends/verilog/verilog_backend.o [ 77%] Building techlibs/achronix/synth_achronix.o [ 77%] Building techlibs/anlogic/synth_anlogic.o [ 77%] Building techlibs/anlogic/anlogic_eqn.o [ 78%] Building techlibs/anlogic/anlogic_fixcarry.o [ 78%] Building techlibs/common/synth.o [ 78%] Building techlibs/common/prep.o [ 79%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 79%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 79%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 79%] Building techlibs/easic/synth_easic.o [ 80%] Building techlibs/ecp5/synth_ecp5.o [ 80%] Building techlibs/efinix/synth_efinix.o [ 80%] Building techlibs/efinix/efinix_fixcarry.o [ 80%] Building techlibs/fabulous/synth_fabulous.o [ 81%] Building techlibs/gatemate/synth_gatemate.o [ 81%] Building techlibs/gatemate/gatemate_foldinv.o [ 81%] Building techlibs/gowin/synth_gowin.o [ 82%] Building techlibs/greenpak4/synth_greenpak4.o [ 82%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 82%] Building techlibs/ice40/synth_ice40.o [ 82%] Building techlibs/ice40/ice40_braminit.o [ 83%] Building techlibs/ice40/ice40_opt.o [ 83%] Building techlibs/ice40/ice40_dsp.o [ 83%] Building techlibs/ice40/ice40_wrapcarry_pm.h [ 83%] Building techlibs/intel/synth_intel.o [ 84%] Building techlibs/intel_alm/synth_intel_alm.o [ 84%] Building techlibs/lattice/synth_lattice.o [ 84%] Building techlibs/lattice/lattice_gsr.o [ 85%] Building techlibs/microchip/synth_microchip.o [ 85%] Building techlibs/microchip/microchip_dffopt.o [ 85%] Building techlibs/microchip/microchip_dsp_pm.h [ 85%] Building techlibs/microchip/microchip_dsp_CREG_pm.h [ 85%] Building techlibs/microchip/microchip_dsp_cascade_pm.h [ 85%] Building techlibs/nanoxplore/synth_nanoxplore.o [ 86%] Building techlibs/nanoxplore/nx_carry.o [ 86%] Building techlibs/nexus/synth_nexus.o [ 86%] Building techlibs/quicklogic/synth_quicklogic.o [ 86%] Building techlibs/quicklogic/ql_bram_merge.o [ 87%] Building techlibs/quicklogic/ql_bram_types.o [ 87%] Building techlibs/quicklogic/ql_dsp_simd.o [ 87%] Building techlibs/quicklogic/ql_dsp_io_regs.o [ 88%] Building techlibs/quicklogic/ql_ioff.o [ 88%] Building techlibs/quicklogic/ql_dsp_macc_pm.h [ 88%] Building techlibs/sf2/synth_sf2.o [ 88%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 89%] Building techlibs/xilinx/xilinx_dsp_pm.h [ 89%] Building techlibs/xilinx/xilinx_dsp48a_pm.h [ 89%] Building techlibs/xilinx/xilinx_dsp_CREG_pm.h [ 89%] Building techlibs/xilinx/xilinx_dsp_cascade_pm.h [ 89%] Building techlibs/xilinx/xilinx_srl.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/bitpattern.h [ 99%] Building share/include/kernel/cellaigs.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/cost.h [ 99%] Building share/include/kernel/drivertools.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/ffmerge.h [ 99%] Building share/include/kernel/fmt.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/gzip.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/io.h [ 99%] Building share/include/kernel/json.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/scopeinfo.h [ 99%] Building share/include/kernel/sexpr.h [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/timinginfo.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/yosys_common.h [ 99%] Building share/include/kernel/yw.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/passes/techmap/libparse.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/cmp2softlogic.v [ 99%] Building share/choices/kogge-stone.v [ 99%] Building share/choices/han-carlson.v [ 99%] Building share/choices/sklansky.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/cells_xtra_gw1n.v [ 99%] Building share/gowin/cells_xtra_gw2a.v [ 99%] Building share/gowin/cells_xtra_gw5a.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/lattice/cells_ff.vh [ 99%] Building share/lattice/cells_io.vh [ 99%] Building share/lattice/cells_map.v [ 99%] Building share/lattice/common_sim.vh [ 99%] Building share/lattice/ccu2d_sim.vh [ 99%] Building share/lattice/ccu2c_sim.vh [ 99%] Building share/lattice/cells_sim_ecp5.v [ 99%] Building share/lattice/cells_sim_xo2.v [ 99%] Building share/lattice/cells_sim_xo3.v [ 99%] Building share/lattice/cells_sim_xo3d.v [ 99%] Building share/lattice/cells_bb_ecp5.v [ 99%] Building share/lattice/cells_bb_xo2.v [ 99%] Building share/lattice/cells_bb_xo3.v [ 99%] Building share/lattice/cells_bb_xo3d.v [ 99%] Building share/lattice/lutrams_map.v [ 99%] Building share/lattice/lutrams.txt [ 99%] Building share/lattice/brams_map_16kd.v [ 99%] Building share/lattice/brams_16kd.txt [ 99%] Building share/lattice/brams_map_8kc.v [ 99%] Building share/lattice/brams_8kc.txt [ 99%] Building share/lattice/arith_map_ccu2c.v [ 99%] Building share/lattice/arith_map_ccu2d.v [ 99%] Building share/lattice/latches_map.v [ 99%] Building share/lattice/dsp_map_18x18.v [ 99%] Building share/microchip/arith_map.v [ 99%] Building share/microchip/cells_map.v [ 99%] Building share/microchip/cells_sim.v [ 99%] Building share/microchip/polarfire_dsp_map.v [ 99%] Building share/microchip/brams_defs.vh [ 99%] Building share/microchip/LSRAM_map.v [ 99%] Building share/microchip/LSRAM.txt [ 99%] Building share/microchip/uSRAM_map.v [ 99%] Building share/microchip/uSRAM.txt [ 99%] Building share/nanoxplore/arith_map.v [ 99%] Building share/nanoxplore/brams_init.vh [ 99%] Building share/nanoxplore/brams_map.v [ 99%] Building share/nanoxplore/brams.txt [ 99%] Building share/nanoxplore/cells_bb.v [ 99%] Building share/nanoxplore/cells_bb_l.v [ 99%] Building share/nanoxplore/cells_bb_m.v [ 99%] Building share/nanoxplore/cells_bb_u.v [ 99%] Building share/nanoxplore/cells_map.v [ 99%] Building share/nanoxplore/cells_sim.v [ 99%] Building share/nanoxplore/cells_sim_l.v [ 99%] Building share/nanoxplore/cells_sim_m.v [ 99%] Building share/nanoxplore/cells_sim_u.v [ 99%] Building share/nanoxplore/cells_wrap.v [ 99%] Building share/nanoxplore/cells_wrap_l.v [ 99%] Building share/nanoxplore/cells_wrap_m.v [ 99%] Building share/nanoxplore/cells_wrap_u.v [ 99%] Building share/nanoxplore/io_map.v [ 99%] Building share/nanoxplore/latches_map.v [ 99%] Building share/nanoxplore/rf_init.vh [ 99%] Building share/nanoxplore/rf_rams_l.txt [ 99%] Building share/nanoxplore/rf_rams_m.txt [ 99%] Building share/nanoxplore/rf_rams_u.txt [ 99%] Building share/nanoxplore/rf_rams_map_l.v [ 99%] Building share/nanoxplore/rf_rams_map_m.v [ 99%] Building share/nanoxplore/rf_rams_map_u.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/common/cells_sim.v [ 99%] Building share/quicklogic/pp3/ffs_map.v [ 99%] Building share/quicklogic/pp3/lut_map.v [ 99%] Building share/quicklogic/pp3/latches_map.v [ 99%] Building share/quicklogic/pp3/cells_map.v [ 99%] Building share/quicklogic/pp3/cells_sim.v [ 99%] Building share/quicklogic/pp3/abc9_model.v [ 99%] Building share/quicklogic/pp3/abc9_map.v [ 99%] Building share/quicklogic/pp3/abc9_unmap.v [ 99%] Building share/quicklogic/qlf_k6n10f/arith_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams.txt [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_sim.v [ 99%] Building techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/cells_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/ffs_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_final_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v [ 99%] Building share/quicklogic/qlf_k6n10f/ufifo_ctl.v [ 99%] Building share/quicklogic/qlf_k6n10f/sram1024x18_mem.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_3aca86049e79a165932e3e7660358376f45acaed.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building frontends/verilog/preproc.o [ 99%] Building frontends/verilog/verilog_frontend.o [ 99%] Building passes/opt/peepopt.o [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building techlibs/ice40/ice40_wrapcarry.o [ 99%] Building techlibs/microchip/microchip_dsp.o [ 99%] Building techlibs/quicklogic/ql_dsp_macc.o [ 99%] Building techlibs/xilinx/xilinx_dsp.o [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building frontends/verilog/verilog_lexer.o [ 99%] Building yosys-filterlib [ 99%] Building kernel/python_wrappers.o kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::IdString::in_(boost::python::list)': kernel/python_wrappers.cc:5475:52: warning: 'bool Yosys::RTLIL::IdString::in(const Yosys::hashlib::pool&) const' is deprecated [-Wdeprecated-declarations] 5475 | bool ret_ = this->get_cpp_obj()->in(rhs___tmp); | ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ In file included from ./kernel/yosys.h:43, from ./kernel/celltypes.h:23, from kernel/python_wrappers.cc:22: ./kernel/rtlil.h:448:13: note: declared here 448 | inline bool RTLIL::IdString::in(const pool &rhs) const { return rhs.count(*this) != 0; } | ^~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::AttrObject::get_blackbox_attribute() const': kernel/python_wrappers.cc:5862:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 5862 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::AttrObject::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:5869:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 5869 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::NamedObject::get_blackbox_attribute() const': kernel/python_wrappers.cc:6006:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6006 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::NamedObject::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:6013:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6013 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::CaseRule::get_blackbox_attribute() const': kernel/python_wrappers.cc:6473:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6473 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::CaseRule::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:6480:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6480 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::SwitchRule::get_blackbox_attribute() const': kernel/python_wrappers.cc:6653:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6653 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::SwitchRule::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:6660:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6660 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Process::get_blackbox_attribute() const': kernel/python_wrappers.cc:6905:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6905 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Process::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:6912:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 6912 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Cell::get_blackbox_attribute() const': kernel/python_wrappers.cc:8189:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 8189 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Cell::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:8196:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 8196 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Wire::get_blackbox_attribute() const': kernel/python_wrappers.cc:8457:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 8457 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Wire::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:8464:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 8464 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Memory::get_blackbox_attribute() const': kernel/python_wrappers.cc:8647:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 8647 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'bool YOSYS_PYTHON::Memory::get_blackbox_attribute(bool) const': kernel/python_wrappers.cc:8654:72: warning: 'bool Yosys::RTLIL::AttrObject::get_blackbox_attribute(bool) const' is deprecated: Use Module::get_blackbox_attribute() instead. [-Wdeprecated-declarations] 8654 | bool ret_ = this->get_cpp_obj()->get_blackbox_attribute(ignore_wb); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~ ./kernel/rtlil.h:894:14: note: declared here 894 | bool get_blackbox_attribute(bool ignore_wb=false) const { | ^~~~~~~~~~~~~~~~~~~~~~ kernel/python_wrappers.cc: In member function 'boost::python::list YOSYS_PYTHON::Design::selected_whole_modules() const': kernel/python_wrappers.cc:13033:112: warning: 'std::vector Yosys::RTLIL::Design::selected_whole_modules() const' is deprecated: Use select_unboxed_whole_modules() to maintain prior behaviour, or consider one of the other selected whole module helpers. [-Wdeprecated-declarations] 13033 | std::vector ret_ = this->get_cpp_obj()->selected_whole_modules(); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~ ./kernel/rtlil.h:1466:37: note: declared here 1466 | std::vector selected_whole_modules() const { return selected_modules(SELECT_WHOLE_ONLY, SB_UNBOXED_WARN); } | ^~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/15.2.0/string:56, from ./kernel/yosys_common.h:28, from ./kernel/yosys.h:40: In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)' at kernel/python_wrappers.cc:14027:74: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)': kernel/python_wrappers.cc:14027:102: note: '' declared here 14027 | string tmp_263 = boost::python::extract(val_tmp_261[cntr_262]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)' at kernel/python_wrappers.cc:14027:74: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)': kernel/python_wrappers.cc:14027:102: note: '' declared here 14027 | string tmp_263 = boost::python::extract(val_tmp_261[cntr_262]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)' at kernel/python_wrappers.cc:14027:74: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)': kernel/python_wrappers.cc:14027:102: note: '' declared here 14027 | string tmp_263 = boost::python::extract(val_tmp_261[cntr_262]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)' at kernel/python_wrappers.cc:14027:74: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)': kernel/python_wrappers.cc:14027:102: note: '' declared here 14027 | string tmp_263 = boost::python::extract(val_tmp_261[cntr_262]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)' at kernel/python_wrappers.cc:14022:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)': kernel/python_wrappers.cc:14022:100: note: '' declared here 14022 | string key_tmp_261 = boost::python::extract(rhs_keylist[ cntr_260 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)' at kernel/python_wrappers.cc:14022:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_hdump(boost::python::dict)': kernel/python_wrappers.cc:14022:100: note: '' declared here 14022 | string key_tmp_261 = boost::python::extract(rhs_keylist[ cntr_260 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)' at kernel/python_wrappers.cc:14376:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)': kernel/python_wrappers.cc:14376:86: note: '' declared here 14376 | string tmp_287 = boost::python::extract(rhs[cntr_286]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)' at kernel/python_wrappers.cc:14376:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)': kernel/python_wrappers.cc:14376:86: note: '' declared here 14376 | string tmp_287 = boost::python::extract(rhs[cntr_286]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)' at kernel/python_wrappers.cc:14376:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)': kernel/python_wrappers.cc:14376:86: note: '' declared here 14376 | string tmp_287 = boost::python::extract(rhs[cntr_286]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)' at kernel/python_wrappers.cc:14376:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_output_files(boost::python::list)': kernel/python_wrappers.cc:14376:86: note: '' declared here 14376 | string tmp_287 = boost::python::extract(rhs[cntr_286]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)' at kernel/python_wrappers.cc:14354:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)': kernel/python_wrappers.cc:14354:86: note: '' declared here 14354 | string tmp_284 = boost::python::extract(rhs[cntr_283]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)' at kernel/python_wrappers.cc:14354:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)': kernel/python_wrappers.cc:14354:86: note: '' declared here 14354 | string tmp_284 = boost::python::extract(rhs[cntr_283]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)' at kernel/python_wrappers.cc:14354:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)': kernel/python_wrappers.cc:14354:86: note: '' declared here 14354 | string tmp_284 = boost::python::extract(rhs[cntr_283]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)' at kernel/python_wrappers.cc:14354:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_yosys_input_files(boost::python::list)': kernel/python_wrappers.cc:14354:86: note: '' declared here 14354 | string tmp_284 = boost::python::extract(rhs[cntr_283]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)' at kernel/python_wrappers.cc:14096:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)': kernel/python_wrappers.cc:14096:86: note: '' declared here 14096 | string tmp_272 = boost::python::extract(rhs[cntr_271]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)' at kernel/python_wrappers.cc:14096:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)': kernel/python_wrappers.cc:14096:86: note: '' declared here 14096 | string tmp_272 = boost::python::extract(rhs[cntr_271]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)' at kernel/python_wrappers.cc:14096:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)': kernel/python_wrappers.cc:14096:86: note: '' declared here 14096 | string tmp_272 = boost::python::extract(rhs[cntr_271]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)' at kernel/python_wrappers.cc:14096:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals_ignored(boost::python::list)': kernel/python_wrappers.cc:14096:86: note: '' declared here 14096 | string tmp_272 = boost::python::extract(rhs[cntr_271]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)' at kernel/python_wrappers.cc:14074:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)': kernel/python_wrappers.cc:14074:86: note: '' declared here 14074 | string tmp_269 = boost::python::extract(rhs[cntr_268]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)' at kernel/python_wrappers.cc:14074:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)': kernel/python_wrappers.cc:14074:86: note: '' declared here 14074 | string tmp_269 = boost::python::extract(rhs[cntr_268]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)' at kernel/python_wrappers.cc:14074:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)': kernel/python_wrappers.cc:14074:86: note: '' declared here 14074 | string tmp_269 = boost::python::extract(rhs[cntr_268]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)' at kernel/python_wrappers.cc:14074:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_experimentals(boost::python::list)': kernel/python_wrappers.cc:14074:86: note: '' declared here 14074 | string tmp_269 = boost::python::extract(rhs[cntr_268]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)' at kernel/python_wrappers.cc:14052:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)': kernel/python_wrappers.cc:14052:86: note: '' declared here 14052 | string tmp_266 = boost::python::extract(rhs[cntr_265]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)' at kernel/python_wrappers.cc:14052:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)': kernel/python_wrappers.cc:14052:86: note: '' declared here 14052 | string tmp_266 = boost::python::extract(rhs[cntr_265]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)' at kernel/python_wrappers.cc:14052:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)': kernel/python_wrappers.cc:14052:86: note: '' declared here 14052 | string tmp_266 = boost::python::extract(rhs[cntr_265]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)' at kernel/python_wrappers.cc:14052:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_warnings(boost::python::list)': kernel/python_wrappers.cc:14052:86: note: '' declared here 14052 | string tmp_266 = boost::python::extract(rhs[cntr_265]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)' at kernel/python_wrappers.cc:5108:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)': kernel/python_wrappers.cc:5108:85: note: '' declared here 5108 | string tmp_33 = boost::python::extract(args[cntr_32]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)' at kernel/python_wrappers.cc:5108:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)': kernel/python_wrappers.cc:5108:85: note: '' declared here 5108 | string tmp_33 = boost::python::extract(args[cntr_32]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)' at kernel/python_wrappers.cc:5108:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)': kernel/python_wrappers.cc:5108:85: note: '' declared here 5108 | string tmp_33 = boost::python::extract(args[cntr_32]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)' at kernel/python_wrappers.cc:5108:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_log_args(boost::python::list)': kernel/python_wrappers.cc:5108:85: note: '' declared here 5108 | string tmp_33 = boost::python::extract(args[cntr_32]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)' at kernel/python_wrappers.cc:5120:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)': kernel/python_wrappers.cc:5120:85: note: '' declared here 5120 | string tmp_35 = boost::python::extract(args[cntr_34]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)' at kernel/python_wrappers.cc:5120:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)': kernel/python_wrappers.cc:5120:85: note: '' declared here 5120 | string tmp_35 = boost::python::extract(args[cntr_34]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)' at kernel/python_wrappers.cc:5120:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)': kernel/python_wrappers.cc:5120:85: note: '' declared here 5120 | string tmp_35 = boost::python::extract(args[cntr_34]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)' at kernel/python_wrappers.cc:5120:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::cmd_error(boost::python::list, size_t, std::string)': kernel/python_wrappers.cc:5120:85: note: '' declared here 5120 | string tmp_35 = boost::python::extract(args[cntr_34]); | ^ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::connect(boost::python::tuple)': kernel/python_wrappers.cc:9071:79: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 9071 | SigSpec conn___tmp_1 = boost::python::extract(conn[1]); | ^ kernel/python_wrappers.cc:9071:79: note: '' declared here 9071 | SigSpec conn___tmp_1 = boost::python::extract(conn[1]); | ^ kernel/python_wrappers.cc:9070:79: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 9070 | SigSpec conn___tmp_0 = boost::python::extract(conn[0]); | ^ kernel/python_wrappers.cc:9070:79: note: '' declared here 9070 | SigSpec conn___tmp_0 = boost::python::extract(conn[0]); | ^ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::new_connections(boost::python::list)': kernel/python_wrappers.cc:9090:93: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 9090 | SigSpec tmp_209___tmp_1 = boost::python::extract(tmp_209[1]); | ^ kernel/python_wrappers.cc:9090:93: note: '' declared here 9090 | SigSpec tmp_209___tmp_1 = boost::python::extract(tmp_209[1]); | ^ kernel/python_wrappers.cc:9089:93: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 9089 | SigSpec tmp_209___tmp_0 = boost::python::extract(tmp_209[0]); | ^ kernel/python_wrappers.cc:9089:93: note: '' declared here 9089 | SigSpec tmp_209___tmp_0 = boost::python::extract(tmp_209[0]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)' at kernel/python_wrappers.cc:13993:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)': kernel/python_wrappers.cc:13993:86: note: '' declared here 13993 | string tmp_257 = boost::python::extract(rhs[cntr_256]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)' at kernel/python_wrappers.cc:13993:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)': kernel/python_wrappers.cc:13993:86: note: '' declared here 13993 | string tmp_257 = boost::python::extract(rhs[cntr_256]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)' at kernel/python_wrappers.cc:13993:65: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)': kernel/python_wrappers.cc:13993:86: note: '' declared here 13993 | string tmp_257 = boost::python::extract(rhs[cntr_256]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)' at kernel/python_wrappers.cc:13993:65: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_log_scratchpads(boost::python::list)': kernel/python_wrappers.cc:13993:86: note: '' declared here 13993 | string tmp_257 = boost::python::extract(rhs[cntr_256]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)' at kernel/python_wrappers.cc:13960:69: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)': kernel/python_wrappers.cc:13960:90: note: '' declared here 13960 | string tmp_254 = boost::python::extract(aliases[cntr_253]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)' at kernel/python_wrappers.cc:13960:69: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)': kernel/python_wrappers.cc:13960:90: note: '' declared here 13960 | string tmp_254 = boost::python::extract(aliases[cntr_253]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)' at kernel/python_wrappers.cc:13960:69: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)': kernel/python_wrappers.cc:13960:90: note: '' declared here 13960 | string tmp_254 = boost::python::extract(aliases[cntr_253]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)' at kernel/python_wrappers.cc:13960:69: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::load_plugin(std::string, boost::python::list)': kernel/python_wrappers.cc:13960:90: note: '' declared here 13960 | string tmp_254 = boost::python::extract(aliases[cntr_253]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)' at kernel/python_wrappers.cc:5162:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)': kernel/python_wrappers.cc:5162:85: note: '' declared here 5162 | string tmp_41 = boost::python::extract(args[cntr_40]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)' at kernel/python_wrappers.cc:5162:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)': kernel/python_wrappers.cc:5162:85: note: '' declared here 5162 | string tmp_41 = boost::python::extract(args[cntr_40]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)' at kernel/python_wrappers.cc:5162:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)': kernel/python_wrappers.cc:5162:85: note: '' declared here 5162 | string tmp_41 = boost::python::extract(args[cntr_40]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)' at kernel/python_wrappers.cc:5162:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call__YOSYS_NAMESPACE_RTLIL_Design__std_vector_string_(YOSYS_PYTHON::Design*, boost::python::list)': kernel/python_wrappers.cc:5162:85: note: '' declared here 5162 | string tmp_41 = boost::python::extract(args[cntr_40]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)' at kernel/python_wrappers.cc:5180:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)': kernel/python_wrappers.cc:5180:85: note: '' declared here 5180 | string tmp_43 = boost::python::extract(args[cntr_42]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)' at kernel/python_wrappers.cc:5180:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)': kernel/python_wrappers.cc:5180:85: note: '' declared here 5180 | string tmp_43 = boost::python::extract(args[cntr_42]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)' at kernel/python_wrappers.cc:5180:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)': kernel/python_wrappers.cc:5180:85: note: '' declared here 5180 | string tmp_43 = boost::python::extract(args[cntr_42]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)' at kernel/python_wrappers.cc:5180:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_selection__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Selection__std_vector_string_(YOSYS_PYTHON::Design*, const YOSYS_PYTHON::Selection*, boost::python::list)': kernel/python_wrappers.cc:5180:85: note: '' declared here 5180 | string tmp_43 = boost::python::extract(args[cntr_42]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)' at kernel/python_wrappers.cc:5132:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)': kernel/python_wrappers.cc:5132:85: note: '' declared here 5132 | string tmp_37 = boost::python::extract(args[cntr_36]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)' at kernel/python_wrappers.cc:5132:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)': kernel/python_wrappers.cc:5132:85: note: '' declared here 5132 | string tmp_37 = boost::python::extract(args[cntr_36]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)' at kernel/python_wrappers.cc:5132:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)': kernel/python_wrappers.cc:5132:85: note: '' declared here 5132 | string tmp_37 = boost::python::extract(args[cntr_36]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)' at kernel/python_wrappers.cc:5132:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design(boost::python::list, size_t, YOSYS_PYTHON::Design*)': kernel/python_wrappers.cc:5132:85: note: '' declared here 5132 | string tmp_37 = boost::python::extract(args[cntr_36]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)' at kernel/python_wrappers.cc:5144:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)': kernel/python_wrappers.cc:5144:85: note: '' declared here 5144 | string tmp_39 = boost::python::extract(args[cntr_38]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)' at kernel/python_wrappers.cc:5144:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)': kernel/python_wrappers.cc:5144:85: note: '' declared here 5144 | string tmp_39 = boost::python::extract(args[cntr_38]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)' at kernel/python_wrappers.cc:5144:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)': kernel/python_wrappers.cc:5144:85: note: '' declared here 5144 | string tmp_39 = boost::python::extract(args[cntr_38]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)' at kernel/python_wrappers.cc:5144:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Pass::extra_args__std_vector_string___size_t__YOSYS_NAMESPACE_RTLIL_Design__bool(boost::python::list, size_t, YOSYS_PYTHON::Design*, bool)': kernel/python_wrappers.cc:5144:85: note: '' declared here 5144 | string tmp_39 = boost::python::extract(args[cntr_38]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)' at kernel/python_wrappers.cc:5198:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)': kernel/python_wrappers.cc:5198:85: note: '' declared here 5198 | string tmp_45 = boost::python::extract(args[cntr_44]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)' at kernel/python_wrappers.cc:5198:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)': kernel/python_wrappers.cc:5198:85: note: '' declared here 5198 | string tmp_45 = boost::python::extract(args[cntr_44]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)' at kernel/python_wrappers.cc:5198:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)': kernel/python_wrappers.cc:5198:85: note: '' declared here 5198 | string tmp_45 = boost::python::extract(args[cntr_44]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)' at kernel/python_wrappers.cc:5198:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In static member function 'static void YOSYS_PYTHON::Pass::call_on_module__YOSYS_NAMESPACE_RTLIL_Design__YOSYS_NAMESPACE_RTLIL_Module__std_vector_string_(YOSYS_PYTHON::Design*, YOSYS_PYTHON::Module*, boost::python::list)': kernel/python_wrappers.cc:5198:85: note: '' declared here 5198 | string tmp_45 = boost::python::extract(args[cntr_44]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_pass_register(boost::python::dict)' at kernel/python_wrappers.cc:14292:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_pass_register(boost::python::dict)': kernel/python_wrappers.cc:14292:100: note: '' declared here 14292 | string key_tmp_278 = boost::python::extract(rhs_keylist[ cntr_277 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_pass_register(boost::python::dict)' at kernel/python_wrappers.cc:14292:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_pass_register(boost::python::dict)': kernel/python_wrappers.cc:14292:100: note: '' declared here 14292 | string key_tmp_278 = boost::python::extract(rhs_keylist[ cntr_277 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_saved_designs(boost::python::dict)' at kernel/python_wrappers.cc:14405:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_saved_designs(boost::python::dict)': kernel/python_wrappers.cc:14405:100: note: '' declared here 14405 | string key_tmp_290 = boost::python::extract(rhs_keylist[ cntr_289 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_saved_designs(boost::python::dict)' at kernel/python_wrappers.cc:14405:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_saved_designs(boost::python::dict)': kernel/python_wrappers.cc:14405:100: note: '' declared here 14405 | string key_tmp_290 = boost::python::extract(rhs_keylist[ cntr_289 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)' at kernel/python_wrappers.cc:14453:84: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)': kernel/python_wrappers.cc:14453:105: note: '' declared here 14453 | string val_tmp_296 = boost::python::extract(rhs[rhs_keylist[ cntr_295 ]]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)' at kernel/python_wrappers.cc:14453:84: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)': kernel/python_wrappers.cc:14453:105: note: '' declared here 14453 | string val_tmp_296 = boost::python::extract(rhs[rhs_keylist[ cntr_295 ]]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)' at kernel/python_wrappers.cc:14452:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)': kernel/python_wrappers.cc:14452:100: note: '' declared here 14452 | string key_tmp_296 = boost::python::extract(rhs_keylist[ cntr_295 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)' at kernel/python_wrappers.cc:14452:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_loaded_plugin_aliases(boost::python::dict)': kernel/python_wrappers.cc:14452:100: note: '' declared here 14452 | string key_tmp_296 = boost::python::extract(rhs_keylist[ cntr_295 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)' at kernel/python_wrappers.cc:14266:78: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)': kernel/python_wrappers.cc:14266:99: note: '' declared here 14266 | string val_tmp_275___tmp_0 = boost::python::extract(val_tmp_275[0]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)' at kernel/python_wrappers.cc:14266:78: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)': kernel/python_wrappers.cc:14266:99: note: '' declared here 14266 | string val_tmp_275___tmp_0 = boost::python::extract(val_tmp_275[0]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)' at kernel/python_wrappers.cc:14264:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)': kernel/python_wrappers.cc:14264:100: note: '' declared here 14264 | string key_tmp_275 = boost::python::extract(rhs_keylist[ cntr_274 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)' at kernel/python_wrappers.cc:14264:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_extra_coverage_data(boost::python::dict)': kernel/python_wrappers.cc:14264:100: note: '' declared here 14264 | string key_tmp_275 = boost::python::extract(rhs_keylist[ cntr_274 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12576:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12576:87: note: '' declared here 12576 | string tmp_224 = boost::python::extract(data[cntr_223]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12576:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12576:87: note: '' declared here 12576 | string tmp_224 = boost::python::extract(data[cntr_223]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12576:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12576:87: note: '' declared here 12576 | string tmp_224 = boost::python::extract(data[cntr_223]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12576:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12576:87: note: '' declared here 12576 | string tmp_224 = boost::python::extract(data[cntr_223]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12564:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12564:87: note: '' declared here 12564 | string tmp_222 = boost::python::extract(data[cntr_221]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12564:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12564:87: note: '' declared here 12564 | string tmp_222 = boost::python::extract(data[cntr_221]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12564:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12564:87: note: '' declared here 12564 | string tmp_222 = boost::python::extract(data[cntr_221]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:12564:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:12564:87: note: '' declared here 12564 | string tmp_222 = boost::python::extract(data[cntr_221]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8689:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8689:87: note: '' declared here 8689 | string tmp_176 = boost::python::extract(data[cntr_175]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8689:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8689:87: note: '' declared here 8689 | string tmp_176 = boost::python::extract(data[cntr_175]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8689:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8689:87: note: '' declared here 8689 | string tmp_176 = boost::python::extract(data[cntr_175]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8689:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8689:87: note: '' declared here 8689 | string tmp_176 = boost::python::extract(data[cntr_175]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8677:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8677:87: note: '' declared here 8677 | string tmp_174 = boost::python::extract(data[cntr_173]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8677:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8677:87: note: '' declared here 8677 | string tmp_174 = boost::python::extract(data[cntr_173]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8677:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8677:87: note: '' declared here 8677 | string tmp_174 = boost::python::extract(data[cntr_173]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8677:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Memory::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8677:87: note: '' declared here 8677 | string tmp_174 = boost::python::extract(data[cntr_173]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8499:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8499:87: note: '' declared here 8499 | string tmp_167 = boost::python::extract(data[cntr_166]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8499:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8499:87: note: '' declared here 8499 | string tmp_167 = boost::python::extract(data[cntr_166]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8499:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8499:87: note: '' declared here 8499 | string tmp_167 = boost::python::extract(data[cntr_166]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8499:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8499:87: note: '' declared here 8499 | string tmp_167 = boost::python::extract(data[cntr_166]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8487:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8487:87: note: '' declared here 8487 | string tmp_165 = boost::python::extract(data[cntr_164]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8487:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8487:87: note: '' declared here 8487 | string tmp_165 = boost::python::extract(data[cntr_164]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8487:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8487:87: note: '' declared here 8487 | string tmp_165 = boost::python::extract(data[cntr_164]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8487:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Wire::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8487:87: note: '' declared here 8487 | string tmp_165 = boost::python::extract(data[cntr_164]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8231:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8231:87: note: '' declared here 8231 | string tmp_158 = boost::python::extract(data[cntr_157]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8231:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8231:87: note: '' declared here 8231 | string tmp_158 = boost::python::extract(data[cntr_157]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8231:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8231:87: note: '' declared here 8231 | string tmp_158 = boost::python::extract(data[cntr_157]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8231:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8231:87: note: '' declared here 8231 | string tmp_158 = boost::python::extract(data[cntr_157]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8219:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8219:87: note: '' declared here 8219 | string tmp_156 = boost::python::extract(data[cntr_155]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8219:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8219:87: note: '' declared here 8219 | string tmp_156 = boost::python::extract(data[cntr_155]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8219:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8219:87: note: '' declared here 8219 | string tmp_156 = boost::python::extract(data[cntr_155]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:8219:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Cell::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:8219:87: note: '' declared here 8219 | string tmp_156 = boost::python::extract(data[cntr_155]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6947:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6947:87: note: '' declared here 6947 | string tmp_118 = boost::python::extract(data[cntr_117]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6947:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6947:87: note: '' declared here 6947 | string tmp_118 = boost::python::extract(data[cntr_117]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6947:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6947:87: note: '' declared here 6947 | string tmp_118 = boost::python::extract(data[cntr_117]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6947:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6947:87: note: '' declared here 6947 | string tmp_118 = boost::python::extract(data[cntr_117]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6935:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6935:87: note: '' declared here 6935 | string tmp_116 = boost::python::extract(data[cntr_115]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6935:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6935:87: note: '' declared here 6935 | string tmp_116 = boost::python::extract(data[cntr_115]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6935:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6935:87: note: '' declared here 6935 | string tmp_116 = boost::python::extract(data[cntr_115]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6935:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Process::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6935:87: note: '' declared here 6935 | string tmp_116 = boost::python::extract(data[cntr_115]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6695:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6695:87: note: '' declared here 6695 | string tmp_104 = boost::python::extract(data[cntr_103]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6695:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6695:87: note: '' declared here 6695 | string tmp_104 = boost::python::extract(data[cntr_103]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6695:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6695:87: note: '' declared here 6695 | string tmp_104 = boost::python::extract(data[cntr_103]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6695:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6695:87: note: '' declared here 6695 | string tmp_104 = boost::python::extract(data[cntr_103]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6683:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6683:87: note: '' declared here 6683 | string tmp_102 = boost::python::extract(data[cntr_101]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6683:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6683:87: note: '' declared here 6683 | string tmp_102 = boost::python::extract(data[cntr_101]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6683:66: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6683:87: note: '' declared here 6683 | string tmp_102 = boost::python::extract(data[cntr_101]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6683:66: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SwitchRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6683:87: note: '' declared here 6683 | string tmp_102 = boost::python::extract(data[cntr_101]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6515:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6515:85: note: '' declared here 6515 | string tmp_93 = boost::python::extract(data[cntr_92]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6515:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6515:85: note: '' declared here 6515 | string tmp_93 = boost::python::extract(data[cntr_92]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6515:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6515:85: note: '' declared here 6515 | string tmp_93 = boost::python::extract(data[cntr_92]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6515:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6515:85: note: '' declared here 6515 | string tmp_93 = boost::python::extract(data[cntr_92]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6503:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6503:85: note: '' declared here 6503 | string tmp_91 = boost::python::extract(data[cntr_90]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6503:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6503:85: note: '' declared here 6503 | string tmp_91 = boost::python::extract(data[cntr_90]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6503:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6503:85: note: '' declared here 6503 | string tmp_91 = boost::python::extract(data[cntr_90]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6503:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6503:85: note: '' declared here 6503 | string tmp_91 = boost::python::extract(data[cntr_90]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6048:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6048:85: note: '' declared here 6048 | string tmp_66 = boost::python::extract(data[cntr_65]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6048:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6048:85: note: '' declared here 6048 | string tmp_66 = boost::python::extract(data[cntr_65]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6048:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6048:85: note: '' declared here 6048 | string tmp_66 = boost::python::extract(data[cntr_65]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6048:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6048:85: note: '' declared here 6048 | string tmp_66 = boost::python::extract(data[cntr_65]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6036:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6036:85: note: '' declared here 6036 | string tmp_64 = boost::python::extract(data[cntr_63]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6036:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6036:85: note: '' declared here 6036 | string tmp_64 = boost::python::extract(data[cntr_63]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6036:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6036:85: note: '' declared here 6036 | string tmp_64 = boost::python::extract(data[cntr_63]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:6036:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::NamedObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:6036:85: note: '' declared here 6036 | string tmp_64 = boost::python::extract(data[cntr_63]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5904:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5904:85: note: '' declared here 5904 | string tmp_58 = boost::python::extract(data[cntr_57]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5904:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5904:85: note: '' declared here 5904 | string tmp_58 = boost::python::extract(data[cntr_57]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5904:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5904:85: note: '' declared here 5904 | string tmp_58 = boost::python::extract(data[cntr_57]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5904:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::add_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5904:85: note: '' declared here 5904 | string tmp_58 = boost::python::extract(data[cntr_57]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5892:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5892:85: note: '' declared here 5892 | string tmp_56 = boost::python::extract(data[cntr_55]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5892:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5892:85: note: '' declared here 5892 | string tmp_56 = boost::python::extract(data[cntr_55]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5892:64: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5892:85: note: '' declared here 5892 | string tmp_56 = boost::python::extract(data[cntr_55]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)' at kernel/python_wrappers.cc:5892:64: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::AttrObject::set_strpool_attribute(const YOSYS_PYTHON::IdString*, boost::python::list)': kernel/python_wrappers.cc:5892:85: note: '' declared here 5892 | string tmp_56 = boost::python::extract(data[cntr_55]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)' at kernel/python_wrappers.cc:12654:84: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)': kernel/python_wrappers.cc:12654:105: note: '' declared here 12654 | string val_tmp_231 = boost::python::extract(rhs[rhs_keylist[ cntr_230 ]]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)' at kernel/python_wrappers.cc:12654:84: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)': kernel/python_wrappers.cc:12654:105: note: '' declared here 12654 | string val_tmp_231 = boost::python::extract(rhs[rhs_keylist[ cntr_230 ]]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)' at kernel/python_wrappers.cc:12653:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)': kernel/python_wrappers.cc:12653:100: note: '' declared here 12653 | string key_tmp_231 = boost::python::extract(rhs_keylist[ cntr_230 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)' at kernel/python_wrappers.cc:12653:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Design::set_var_py_scratchpad(boost::python::dict)': kernel/python_wrappers.cc:12653:100: note: '' declared here 12653 | string key_tmp_231 = boost::python::extract(rhs_keylist[ cntr_230 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)' at kernel/python_wrappers.cc:14318:84: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)': kernel/python_wrappers.cc:14318:105: note: '' declared here 14318 | string val_tmp_281 = boost::python::extract(rhs[rhs_keylist[ cntr_280 ]]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)' at kernel/python_wrappers.cc:14318:84: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)': kernel/python_wrappers.cc:14318:105: note: '' declared here 14318 | string val_tmp_281 = boost::python::extract(rhs[rhs_keylist[ cntr_280 ]]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::pointer std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::_M_data() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:34, inlined from 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)' at kernel/python_wrappers.cc:14317:79: /usr/include/c++/15.2.0/bits/basic_string.h:239:28: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_dataplus.std::__cxx11::basic_string::_Alloc_hider::_M_p' may be used uninitialized [-Wmaybe-uninitialized] 239 | { return _M_dataplus._M_p; } | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)': kernel/python_wrappers.cc:14317:100: note: '' declared here 14317 | string key_tmp_281 = boost::python::extract(rhs_keylist[ cntr_280 ]); | ^ In member function 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]', inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::size_type std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::length() const [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:1176:20, inlined from 'std::__cxx11::basic_string<_CharT, _Traits, _Alloc>::basic_string(const std::__cxx11::basic_string<_CharT, _Traits, _Alloc>&) [with _CharT = char; _Traits = std::char_traits; _Alloc = std::allocator]' at /usr/include/c++/15.2.0/bits/basic_string.h:617:20, inlined from 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)' at kernel/python_wrappers.cc:14317:79: /usr/include/c++/15.2.0/bits/basic_string.h:1165:19: warning: '*(const std::__cxx11::basic_string, std::allocator >*)((char*)& + offsetof(boost::python::extract, std::allocator > >,boost::python::extract, std::allocator > >::.boost::python::converter::extract_rvalue, std::allocator > >::m_data.boost::python::converter::rvalue_from_python_data, std::allocator > >::.boost::python::converter::rvalue_from_python_storage, std::allocator > >::storage)).std::__cxx11::basic_string::_M_string_length' may be used uninitialized [-Wmaybe-uninitialized] 1165 | size_type __sz = _M_string_length; | ^~~~ kernel/python_wrappers.cc: In function 'void YOSYS_PYTHON::set_var_py_constpad(boost::python::dict)': kernel/python_wrappers.cc:14317:100: note: '' declared here 14317 | string key_tmp_281 = boost::python::extract(rhs_keylist[ cntr_280 ]); | ^ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::Module::set_var_py_connections_(boost::python::list)': kernel/python_wrappers.cc:8853:93: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 8853 | SigSpec tmp_189___tmp_1 = boost::python::extract(tmp_189[1]); | ^ kernel/python_wrappers.cc:8853:93: note: '' declared here 8853 | SigSpec tmp_189___tmp_1 = boost::python::extract(tmp_189[1]); | ^ kernel/python_wrappers.cc:8852:93: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 8852 | SigSpec tmp_189___tmp_0 = boost::python::extract(tmp_189[0]); | ^ kernel/python_wrappers.cc:8852:93: note: '' declared here 8852 | SigSpec tmp_189___tmp_0 = boost::python::extract(tmp_189[0]); | ^ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::SyncRule::set_var_py_actions(boost::python::list)': kernel/python_wrappers.cc:6773:93: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 6773 | SigSpec tmp_108___tmp_1 = boost::python::extract(tmp_108[1]); | ^ kernel/python_wrappers.cc:6773:93: note: '' declared here 6773 | SigSpec tmp_108___tmp_1 = boost::python::extract(tmp_108[1]); | ^ kernel/python_wrappers.cc:6772:93: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 6772 | SigSpec tmp_108___tmp_0 = boost::python::extract(tmp_108[0]); | ^ kernel/python_wrappers.cc:6772:93: note: '' declared here 6772 | SigSpec tmp_108___tmp_0 = boost::python::extract(tmp_108[0]); | ^ kernel/python_wrappers.cc: In member function 'void YOSYS_PYTHON::CaseRule::set_var_py_actions(boost::python::list)': kernel/python_wrappers.cc:6376:91: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 6376 | SigSpec tmp_83___tmp_1 = boost::python::extract(tmp_83[1]); | ^ kernel/python_wrappers.cc:6376:91: note: '' declared here 6376 | SigSpec tmp_83___tmp_1 = boost::python::extract(tmp_83[1]); | ^ kernel/python_wrappers.cc:6375:91: warning: '*(const YOSYS_PYTHON::SigSpec*)((char*)& + offsetof(boost::python::extract,boost::python::extract::.boost::python::converter::extract_rvalue::m_data.boost::python::converter::rvalue_from_python_data::.boost::python::converter::rvalue_from_python_storage::storage)).YOSYS_PYTHON::SigSpec::ref_obj' may be used uninitialized [-Wmaybe-uninitialized] 6375 | SigSpec tmp_83___tmp_0 = boost::python::extract(tmp_83[0]); | ^ kernel/python_wrappers.cc:6375:91: note: '' declared here 6375 | SigSpec tmp_83___tmp_0 = boost::python::extract(tmp_83[0]); | ^ [100%] Building yosys [100%] Building libyosys.so Build successful. [Makefile.conf] CONFIG:=gcc [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.12 -lboost_python312 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 cd tests/arch/anlogic/ && bash run-test.sh cd tests/arch/ecp5/ && bash run-test.sh cd tests/arch/efinix/ && bash run-test.sh cd tests/arch/gatemate/ && bash run-test.sh cd tests/arch/gowin/ && bash run-test.sh cd tests/arch/ice40/ && bash run-test.sh cd tests/arch/intel_alm/ && bash run-test.sh cd tests/arch/machxo2/ && bash run-test.sh cd tests/arch/microchip/ && bash run-test.sh cd tests/arch/nanoxplore/ && bash run-test.sh cd tests/arch/nexus/ && bash run-test.sh cd tests/arch/quicklogic/pp3/ && bash run-test.sh cd tests/arch/quicklogic/qlf_k6n10f/ && bash run-test.sh cd tests/arch/xilinx/ && bash run-test.sh cd tests/bugpoint/ && bash run-test.sh cd tests/opt/ && bash run-test.sh cd tests/sat/ && bash run-test.sh cd tests/sim/ && bash run-test.sh cd tests/svtypes/ && bash run-test.sh cd tests/techmap/ && bash run-test.sh cd tests/various/ && bash run-test.sh cd tests/verilog/ && bash run-test.sh Generate FST for sim models cd tests/memories && bash run-test.sh "-A abc" "" Test tb_adff cd tests/aiger && bash run-test.sh "-A abc" "" cd tests/alumacc && bash run-test.sh "-A abc" "" make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/memories' Checking and_.aag. cd tests/simple && bash run-test.sh "" Running basic.ys.. cd tests/simple_abc9 && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c cd tests/hana && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/simple' ls: *.sv: No such file or directory cd tests/asicworld && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c cd tests/share && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/hana' cd tests/opt_share && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata + /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.ccc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c+ cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o cd tests/fsm && bash run-test.sh "" /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/asicworld' cd tests/memlib && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c generating tests.. + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c cd tests/bram && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c cd tests/svinterfaces && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c generating tests.. + cd tests/xprop && bash run-test.sh "" cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c FST info: dumpfile tb_adff.fst opened for output. + + cc -Wall cc -o -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c/home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c tb/tb_adff.v:38: $finish called at 110 (1ns) + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c generating tests.. + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c generating tests.. + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o + /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.ccc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c Test tb_adffe + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c Test: svinterface1 -> make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/simple_abc9' + + cc -Wall cc -o -Wall /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c/home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c cd tests/select && bash run-test.sh "" + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c Running boxes_equals_name.ys.. FST info: dumpfile tb_adffe.fst opened for output. tb/tb_adffe.v:56: $finish called at 190 (1ns) Test tb_adlatch + cc -Wall -o /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata /home/buildozer/aports/testing/yosys/src/tests/tools/cmp_tbdata.c FST info: dumpfile tb_adlatch.fst opened for output. tb/tb_adlatch.v:68: $finish called at 250 (1ns) Test tb_aldff FST info: dumpfile tb_aldff.fst opened for output. Running boxes_equals_operators.ys.. tb/tb_aldff.v:71: $finish called at 270 (1ns) Test tb_aldffe xprop PRNG seed: 2027862515 running tests.. FST info: dumpfile tb_aldffe.fst opened for output. [0]tb/tb_aldffe.v:73: $finish called at 270 (1ns) Test tb_dff make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/xprop' Running boxes_equals_pattern.ys.. FST info: dumpfile tb_dff.fst opened for output. tb/tb_dff.v:45: $finish called at 150 (1ns) Test tb_dffe PRNG seed: 1543977639 make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/memlib' FST info: dumpfile tb_dffe.fst opened for output. tb/tb_dffe.v:40: $finish called at 120 (1ns) Test tb_dffsr Checking and_to_bad_out.aag. Running boxes_equals_wildcard.ys.. FST info: dumpfile tb_dffsr.fst opened for output. tb/tb_dffsr.v:67: $finish called at 250 (1ns) Test tb_dlatch FST info: dumpfile tb_dlatch.fst opened for output. tb/tb_dlatch.v:48: $finish called at 160 (1ns) Test tb_dlatchsr FST info: dumpfile tb_dlatchsr.fst opened for output. [1]tb/tb_dlatchsr.v:63: $finish called at 250 (1ns) Test tb_sdff running tests.. make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/fsm' [0]Running boxes_import.ys.. running tests.. FST info: dumpfile tb_sdff.fst opened for output. tb/tb_sdff.v:46: $finish called at 150 (1ns) make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/opt_share' [0]Test tb_sdffce FST info: dumpfile tb_sdffce.fst opened for output. tb/tb_sdffce.v:77: $finish called at 300 (1ns) Test tb_sdffe Warning: Selection "wb" did not match any module. ERROR: No top module found in source design. Expected error pattern 'No top module found in source design\.' found !!! Running boxes_no_equals.ys.. FST info: dumpfile tb_sdffe.fst opened for output. tb/tb_sdffe.v:68: $finish called at 250 (1ns) PRNG seed: 655063 [2]Running boxes_no_equals_clean.ys.. Checking buffer.aag. [1]Warning: Selection "wb" did not match any module. running tests.. make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/bram' Running boxes_setattr.ys.. [3]Running boxes_stack.ys.. Running macc_b_port_compat.ys.. [2][4]Running internal_selects.ys.. Checking cnt1.aag. Warning: Ignoring blackbox module bb. Warning: Ignoring boxed module wb. Warning: Ignoring boxed module bb. Warning: Ignoring partially selected module wb. Warning: Ignoring partially selected module top. Running list_mod.ys.. [3][5]Running mod-attribute.ys.. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Test: matching_end_labels -> ok [4]Test: case_expr_extend -> ok [5]Test: unnamed_block_decl -> ok [6]Test: local_loop_var -> ok [7]Running macc_infer_n_unmap.ys.. Test: case_expr_query -> ok cd tests/peepopt && bash run-test.sh "" Running no_warn_assert.ys.. Running muldiv_c.ys.. [6]Running no_warn_prefixed_arg_memb.ys.. Test: memwr_port_connection -> ok cd tests/proc && bash run-test.sh "" Checking cnt1e.aag. Running bug2619.ys.. cd tests/blif && bash run-test.sh "" Running bug2729.ys.. ...passed tests in tests/alumacc Running no_warn_prefixed_empty_select_arg.ys.. [7]Test: t_async_small -> ok Running bug3374.ys.. Running bug2656.ys.. Running unset.ys.. Test: test_simulation_buffer -> ok ERROR: Syntax error in line 1! Expected error pattern 'Syntax error in line 1!' found !!! Warning: wire '\q1' is assigned in a block at < ok cd tests/arch && bash run-test.sh "" Test: aes_kexp128 -> ok Running unset2.ys.. Running syntax check on arch sim models Test: always01 -> ok Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok Running bug2962.ys.. ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals. Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!! Test ../../techlibs/anlogic/cells_sim.v ->ERROR: Selection @foo is not defined! Expected error pattern 'Selection @foo is not defined!' found !!! ...passed tests in tests/blif Running warn_empty_select_arg.ys.. ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test: always02 -> ok Test ../../techlibs/ecp5/cells_sim.v ->Test: code_hdl_models_decoder_2to4_gates -> ok [9][8]Test: code_hdl_models_GrayCounter -> ok Checking empty.aag. Warning: Selection "foo" did not match any module. Warning: Selection "bar" did not match any object. Running bug4712.ys.. Test: code_hdl_models_d_latch_gates -> ok ...passed tests in tests/select Test: test_simulation_seq -> ok ok Test ../../techlibs/efinix/cells_sim.v -> ok Test: code_hdl_models_d_ff_gates -> ok Warning: Async reset value `\a_r' is not constant! Test ../../techlibs/gatemate/cells_sim.v ->Running bug_1268.ys.. [10]Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. ok Test ../../techlibs/gowin/cells_sim.v ->Test: lesser_size_cast -> ok Test: arrays02 -> ok Running case_attr.ys.. ok Test: code_hdl_models_decoder_using_assign -> ok Test ../../techlibs/greenpak4/cells_sim.v ->Test: code_hdl_models_arbiter -> ok Checking false.aag. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->Test: aes_kexp128 -> ok ../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. Running clean_undef_case.ys.. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->Warning: The new network has no primary inputs. It is recommended ../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. to add a dummy PI to make sure all commands work correctly. Running proc_dff.ys.. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->Test: code_hdl_models_decoder_using_case -> ok ../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. [11] ok Warning: Complex async reset for dff `\q'. Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Checking halfadder.aag. Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel_alm/cyclonev/cells_sim.v ->Test: arrays01 -> ok [1]Running proc_rom.ys.. Test: code_hdl_models_clk_div_45 -> ok ok [12]Test ../../techlibs/microchip/cells_sim.v ->Test: firrtl_938 -> ok Warning: wire '\d' is assigned in a block at < ok Test ../../techlibs/nanoxplore/cells_sim.v ->Test: test_simulation_nor -> ok ok Test: defvalue -> ok Test ../../techlibs/nexus/cells_sim.v ->Test: t_sync_small_block -> ok Warning: wire '\d' is assigned in a block at < ok Test: implicit_ports -> ok svinterface1_tb.v:50: $finish called at 420000 (10ps) ok Test: t_sync_small -> ok Test ../../techlibs/quicklogic/pp3/cells_sim.v ->Test: no_implicit_en -> ok Warning: wire '\d' is assigned in a block at < ok Test ../../techlibs/quicklogic/qlf_k6n10f/cells_sim.v ->svinterface1_tb.v:50: $finish called at 420000 (10ps) ok Test: always03 -> ok Test: test_parse2synthtrans -> ok Test: svinterface_at_top -> ok [14]Test ../../techlibs/quicklogic/common/cells_sim.v ->Test: t_sync_big_sdp -> ok Checking inverter.aag. ok Test ../../techlibs/sf2/cells_sim.v ->Warning: wire '\d' is assigned in a block at <Passed memory_bram test 00_01. Test: code_hdl_models_dff_async_reset -> ok Test: t_async_small_block -> ok Test: test_simulation_nand -> ok xprop_pos_3s_5: ok xprop_pos_3s_5: ok [15]Running rmdead.ys.. Test: macro_arg_spaces -> ok cd tests/rpc && bash run-test.sh "" Running exec.ys.. Test: code_hdl_models_dff_sync_reset -> ok cd tests/memfile && bash run-test.sh "" ok Test ../../techlibs/common/simcells.v ->Running from the parent directory with content1.dat Test: t_init_lut_val_zero -> ok Test: attrib01_module -> ok Test: t_init_lut_zeros_zero -> ok ok Test ../../techlibs/common/simlib.v ->...passed tests in tests/proc Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_not_3s_5: ok xprop_not_3s_5: ok Test: t_init_lut_zeros_any -> ok Test: simple_sram_byte_en -> ok Test: t_init_lut_val_any -> ok Running from the parent directory with temp/content2.dat ok ...passed tests in tests/arch Checking notcnt1.aag. [16]Test: t_init_lut_val_no_undef -> ok [2]Running from the parent directory with memfile/temp/content2.dat Test: arraycells -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Running from the same directory with content1.dat [17]Test: t_init_lut_val2_any -> ok Passed memory_bram test 00_02. Test: test_simulation_or -> ok Running from the same directory with temp/content2.dat [18]Checking notcnt1e.aag. Running from a child directory with content1.dat ...passed tests in tests/rpc Test: wide_thru_priority -> ok Running from a child directory with temp/content2.dat Test: shared_ports -> ok [19]Running from a child directory with content2.dat Test: t_ram_18b2B -> ok Test: t_init_lut_x_none -> ok Test: t_init_lut_x_zero -> ok Test: test_simulation_and -> ok Test: t_init_lut_x_any -> ok Checking a failure when zero length filename is provided Test: t_init_lut_x_no_undef -> ok [20]Test: t_init_lut_val2_no_undef -> ok memory.v:15: ERROR: Can not open file `` for \$readmemb. Execution failed, which is OK. Checking a failure when not existing filename is provided Checking or_.aag. memory.v:15: ERROR: Can not open file `content3.dat` for \$readmemb. Execution failed, which is OK. ...passed tests in tests/memfile Test: t_ram_2b1B -> ok Test: t_ram_4b1B -> ok [21]Test: t_ram_1b1B -> ok cd tests/fmt && bash run-test.sh "" Test: t_ram_9b1B -> ok + ../../yosys -p 'read_verilog initial_display.v' + awk '/<<>>/,/<<>>/ {print $0}' Test: attrib02_port_decl -> ok cd tests/cxxrtl && bash run-test.sh "" + run_subtest value + local subtest=value + shift + cc -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ Test: test_simulation_inc -> ok cd tests/liberty && bash run-test.sh "" Testing on XNOR2X1.lib.. Test: test_parser -> ok Test: t_init_9b1B_zeros_zero -> ok + iverilog -o iverilog-initial_display initial_display.v Passed memory_bram test 00_04. make -C tests/arch/anlogic -f run-test.mk [22]Passed memory_bram test 00_03. make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/anlogic' K+ ./iverilog-initial_display Test: always01 -> ok + diff yosys-initial_display.log iverilog-initial_display.log + test_always_display clk -DEVENT_CLK + local subtest=clk + shift + ../../yosys -p 'read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-1.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:4$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$always_display.v:4$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Checking symbols.aag. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 0de35d2746, CPU: user 0.02s system 0.01s, MEM: 20.87 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 38% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v Test: code_hdl_models_gray_counter -> ok [23]Test: code_hdl_models_half_adder_gates -> ok [9] /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk-1.v Parsing Verilog input from `yosys-always_display-clk-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk-1.v:18$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk-1.v:18$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-always_display-clk-1.v:18$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: e35e8bb689, CPU: user 0.02s system 0.01s, MEM: 20.86 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v + test_always_display clk_rst -DEVENT_CLK_RST + local subtest=clk_rst + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:7$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$always_display.v:7$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk_rst-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: c95608ddf0, CPU: user 0.02s system 0.01s, MEM: 20.86 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 37% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... Test: wide_read_async -> ok [10]+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v Test: test_simulation_sop -> ok [24] Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok make -C tests/arch/ecp5 -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/ecp5' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst-1.v Parsing Verilog input from `yosys-always_display-clk_rst-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-always_display-clk_rst-1.v:18$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Checking toggle-re.aag. Optimizing module m. Test: code_hdl_models_lfsr -> ok Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-clk_rst-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Test: always02 -> ok Dumping module `\m'. End of script. Logfile hash: faf50513c3, CPU: user 0.02s system 0.00s, MEM: 20.38 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v + test_always_display star -DEVENT_STAR + local subtest=star + shift + ../../yosys -p 'read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-1.v xprop_neg_3s_5: ok xprop_neg_3s_5: ok Test: wide_all -> ok Testing on busdef.lib.. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:10$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$always_display.v:10$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Test: arrays03 -> ok Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-star-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. [25]Dumping module `\m'. End of script. Logfile hash: 7b2c5274a5, CPU: user 0.02s system 0.00s, MEM: 20.89 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 37% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v Test: wide_read_trans -> ok Test: read_arst -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-star-1.v Parsing Verilog input from `yosys-always_display-star-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star-1.v:18$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-star-1.v:18$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-always_display-star-1.v:18$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-always_display-star-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 8979c5de0b, CPU: user 0.02s system 0.00s, MEM: 20.26 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 36% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + diff yosys-always_display-star-1.v yosys-always_display-star-2.v + test_always_display clk_en -DEVENT_CLK -DCOND_EN + local subtest=clk_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-1.v Test: code_hdl_models_encoder_using_case -> ok [26]Test: wide_write -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:4$1'. 1/1: $display$0xf720ce1c:15$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:4$1'. Removing empty process `m.$proc$always_display.v:4$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Passed memory_bram test 01_02. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_en-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: d72cbba43b, CPU: user 0.02s system 0.01s, MEM: 20.91 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 41% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + ../../yosys Test: read_two_mux -> ok -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v Test: trans_sp -> ok Test: code_hdl_models_encoder_using_if -> ok Test: trans_sdp -> ok Checking toggle.aag. Test: always03 -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk_en-1.v Parsing Verilog input from `yosys-always_display-clk_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. 1/1: $write$0xf7146e7c:20$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. Removing empty process `m.$proc$yosys-always_display-clk_en-1.v:18$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_en-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. [27]Dumping module `\m'. End of script. Logfile hash: 511362d93e, CPU: user 0.02s system 0.01s, MEM: 20.35 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v + test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN + local subtest=clk_rst_en + shift + ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v Test: wide_read_sync -> ok Test: trans_addr_enable -> ok Passed memory_bram test 01_03. Test: attrib08_mod_inst -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: arrays01 -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:7$1'. 1/1: $display$0xf6f8ae1c:15$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:7$1'. Removing empty process `m.$proc$always_display.v:7$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_rst_en-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 3c97ac8749, CPU: user 0.02s system 0.00s, MEM: 20.86 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... Passed memory_bram test 02_01. + ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Test: code_hdl_models_parity_using_assign -> ok [11]Test: attrib03_parameter -> ok [28]Passed memory_bram test 01_04. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst_en-1.v Parsing Verilog input from `yosys-always_display-clk_rst_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. 1/1: $write$0xf7021e7c:20$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. Removing empty process `m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-clk_rst_en-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Testing on dff.lib.. Dumping module `\m'. End of script. Logfile hash: a87e7797de, CPU: user 0.02s system 0.01s, MEM: 21.02 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v + test_always_display star_en -DEVENT_STAR -DCOND_EN + local subtest=star_en + shift + ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_parity_using_bitwise -> ok [29]Test: issue00710 -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: always_display.v Parsing Verilog input from `always_display.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$always_display.v:10$1'. 1/1: $display$0xf6f4ee1c:15$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:10$1'. Removing empty process `m.$proc$always_display.v:10$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Test: code_hdl_models_lfsr_updown -> ok Optimizing module m. Test: attrib04_net_var -> ok Test: wide_read_mixed -> ok Test: code_hdl_models_mux_2to1_gates -> ok Passed memory_bram test 02_03. xprop_and_1u1_1: ok Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-star_en-1.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. xprop_and_1u1_1: ok Checking true.aag. Dumping module `\m'. End of script. Logfile hash: 686393808c, CPU: user 0.02s system 0.01s, MEM: 20.89 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 42% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v Test: attrib06_operator_suffix -> ok Test: t_init_9b1B_zeros_any -> ok Test: code_hdl_models_mux_using_if -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-star_en-1.v Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-always_display-star_en-1.v:18$1'. 1/1: $write$0xf71a0e7c:20$2_EN 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). xprop_and_1s1_2: ok 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star_en-1.v:18$1'. Removing empty process `m.$proc$yosys-always_display-star_en-1.v:18$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). xprop_and_1s1_2: ok Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). Optimizing module m. [30]Test: test_simulation_decoder -> ok Removed 0 unused cells and 3 unused wires. -- Writing to `yosys-always_display-star_en-2.v' using backend `verilog' -- 4. Executing Verilog backend. 4.1. Executing BMUXMAP pass. 4.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: cbab64d378, CPU: user 0.02s system 0.01s, MEM: 21.42 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v + test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= + local subtest=dec_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-dec_unsigned-1.v Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Passed memory_bram test 02_00. Test: code_hdl_models_mux_using_assign -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: bfb187b86d, CPU: user 0.02s system 0.01s, MEM: 20.85 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 28% 1x clean (0 sec), 20% 1x write_verilog (0 sec), ... svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) + ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v Test: t_init_9b1B_val_any -> ok [31] svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) ERROR! Test: t_init_9b1B_val_zero -> ok Test: load_and_derive -> /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-dec_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 4be9539e85, CPU: user 0.03s system 0.00s, MEM: 20.34 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 26% 1x clean (0 sec), 19% 1x write_verilog (0 sec), ... Checking and_.aig. + diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-dec_unsigned Test: amber23_sram_byte_en -> ok Test: arraycells -> ok + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v ok + ./iverilog-roundtrip-dec_unsigned-1 Test: resolve_types ->+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v [32]+ ./iverilog-roundtrip-dec_unsigned-1 + diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log + diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log + test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed + local subtest=dec_signed + shift + ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-dec_signed-1.v Test: test_simulation_vlib -> ok Testing on idranges.lib.. ok ...passed tests in tests/svinterfaces Test: case_expr_const -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Test: code_hdl_models_parity_using_function -> ok Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: bbdfa5ca92, CPU: user 0.02s system 0.00s, MEM: 20.39 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 25% 1x clean (0 sec), 21% 1x write_verilog (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v Test: test_simulation_techmap -> ok Test: test_simulation_always -> ok Test: const_branch_finish -> ok [33]Test: case_expr_non_const -> ok Test: attrib01_module -> ok Checking and_to_bad_out.aig. Test: code_hdl_models_parallel_crc -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_signed-1.v Parsing Verilog input from `yosys-roundtrip-dec_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-dec_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: b233de92a6, CPU: user 0.02s system 0.01s, MEM: 19.49 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 25% 1x clean (0 sec), 21% 1x write_verilog (0 sec), ... Test: code_hdl_models_rom_using_case -> ok + diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed [34]Test: attrib02_port_decl -> ok + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 Test: t_init_13b2B_val_any -> ok Test: t_init_18b2B_val_no_undef -> ok + diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log Test: t_init_9b1B_val_no_undef -> ok + diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log + test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= + local subtest=hex_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-hex_unsigned-1.v Test: t_init_18b2B_val_any -> ok xprop_or_1u1_1: ok xprop_or_1u1_1: ok [35] /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 2377f2e106, CPU: user 0.02s system 0.01s, MEM: 20.40 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 28% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v Checking buffer.aig. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-hex_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. xprop_and_2u2_2: ok xprop_and_2u2_2: ok Dumping module `\m'. End of script. Logfile hash: 06bfea69c8, CPU: user 0.02s system 0.01s, MEM: 20.80 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... Testing on issue3498_bad.lib.. Passed memory_bram test 01_00. + diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v + ./cxxrtl-test-value + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v + run_subtest value_fuzz + local subtest=value_fuzz + shift + cc -std=c++11 -O2 -o cxxrtl-test-value_fuzz -I../../backends/cxxrtl/runtime test_value_fuzz.cc -lstdc++ [36]+ ./iverilog-roundtrip-hex_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v xprop_or_1s1_2: ok xprop_or_1s1_2: ok + ./iverilog-roundtrip-hex_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-hex_unsigned-1 + diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log + diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log < ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Test: t_init_4b1B_x_zero -> ok Dumping module `\m'. End of script. Logfile hash: 824c3b1e65, CPU: user 0.02s system 0.01s, MEM: 20.91 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... xprop_xor_1u1_1: ok xprop_xor_1u1_1: ok + ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v [37] Checking cnt1.aig. Test: carryadd -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_signed-1.v Parsing Verilog input from `yosys-roundtrip-hex_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-hex_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: f18b3fa15b, CPU: user 0.02s system 0.00s, MEM: 20.49 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 26% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... xprop_xor_1s1_2: ok xprop_xor_1s1_2: ok + diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v Test: attrib04_net_var -> ok + ./iverilog-roundtrip-hex_signed-1 [38]Test: t_clock_a4_wANYrANYsFalse -> ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v Test: t_clock_a4_wANYrNEGsFalse -> ok [3]Warning: The new network has no primary inputs. It is recommended + ./iverilog-roundtrip-hex_signed-1 to add a dummy PI to make sure all commands work correctly. Test: t_init_4b1B_x_any -> ok + diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log + diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log + test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= + local subtest=oct_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v Test: code_hdl_models_pri_encoder_using_assign -> ok Test: t_clock_a4_wANYrPOSsFalse -> ok Test: t_init_4b1B_x_no_undef -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Test: const_fold_func -> ok Dumping module `\m'. End of script. Logfile hash: b768358a65, CPU: user 0.02s system 0.00s, MEM: 20.35 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... [39]+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v Testing on non-ascii.lib.. Test: attrib03_parameter -> ok Passed memory_bram test 03_00. Passed anlogic-add_sub.ys xprop_or_2u2_2: ok xprop_or_2u2_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-oct_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Checking cnt1e.aig. 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 762621cd95, CPU: user 0.02s system 0.01s, MEM: 20.89 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... Passed memory_bram test 03_01. Test: test_simulation_mux -> ok + diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v [40]Test: attrib06_operator_suffix -> ok + ./iverilog-roundtrip-oct_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v Test: attrib09_case -> ok [12]Test: genblk_dive -> ok + ./iverilog-roundtrip-oct_unsigned-1 Test: genblk_order -> ok [13]+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-oct_unsigned-1 Test: genblk_port_shadow -> ok [14]+ diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log + diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log + test_roundtrip oct_signed -DBASE_HEX -DSIGN=signed + local subtest=oct_signed + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-oct_signed-1.v xprop_xor_2u2_2: ok xprop_xor_2u2_2: ok [15] [41] /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Test: genblk_collide -> ok Optimizing module m. [16]Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7ec82b15e3, CPU: user 0.02s system 0.01s, MEM: 21.00 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 26% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... Test: test_simulation_xnor -> ok Passed memory_bram test 03_02. xprop_xnor_1u1_1: ok + ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v xprop_xnor_1u1_1: ok Passed ecp5-bug1630.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_signed-1.v Parsing Verilog input from `yosys-roundtrip-oct_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). [42] 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-oct_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Test: t_clock_a4_wNEGrANYsFalse -> ok Dumping module `\m'. End of script. Logfile hash: a747b9bd4f, CPU: user 0.02s system 0.01s, MEM: 20.97 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 28% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... Passed memory_bram test 03_04. Test: forgen01 -> ok + diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v Checking empty.aig. xprop_xnor_1s1_2: ok xprop_xnor_1s1_2: ok + ./iverilog-roundtrip-oct_signed ...passed tests in tests/peepopt [17]+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed-1 Test: test_simulation_xor -> ok Passed memory_bram test 04_02. [43]+ diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log + diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log + test_roundtrip bin_unsigned -DBASE_HEX -DSIGN= + local subtest=bin_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-bin_unsigned-1.v Testing on normal.lib.. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_unsigned-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 270b564880, CPU: user 0.02s system 0.01s, MEM: 20.91 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v Test: func_recurse -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_unsigned-1.v Parsing Verilog input from `yosys-roundtrip-bin_unsigned-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Checking false.aig. Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_unsigned-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: dc9f56cb10, CPU: user 0.02s system 0.00s, MEM: 20.80 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v Test: fiedler-cooley -> ok [4]+ ./iverilog-roundtrip-bin_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned-1 Test: forgen02 -> ok + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v Passed memory_bram test 04_01. + ./iverilog-roundtrip-bin_unsigned-1 + diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log [44]+ diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log + test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed + local subtest=bin_signed + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-bin_signed-1.v Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- 1. Executing Verilog-2005 frontend: roundtrip.v Parsing Verilog input from `roundtrip.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$roundtrip.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$roundtrip.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_signed-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7709253822, CPU: user 0.02s system 0.01s, MEM: 20.97 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... Test: code_hdl_models_tff_async_reset -> ok + ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v [45]Test: code_hdl_models_serial_crc -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -- 1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_signed-1.v Parsing Verilog input from `yosys-roundtrip-bin_signed-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. Cleaned up 1 empty switch. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module m. Removed 0 unused cells and 1 unused wires. -- Writing to `yosys-roundtrip-bin_signed-2.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\m'. End of script. Logfile hash: 7e2d8271c4, CPU: user 0.02s system 0.01s, MEM: 20.37 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 27% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... Checking halfadder.aig. + diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v Testing on processdefs.lib.. Test: code_hdl_models_tff_sync_reset -> ok + ./iverilog-roundtrip-bin_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v Passed ecp5-add_sub.ys [46][5]+ ./iverilog-roundtrip-bin_signed-1 + diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log + diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log + test_cxxrtl always_full + local subtest=always_full + shift + ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' Test: forloops -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' -- 1. Executing Verilog-2005 frontend: always_full.v Parsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 207 redundant assignments. Promoted 207 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\always_full.$proc$always_full.v:3$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `always_full.$proc$always_full.v:3$1'. Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. [47]Removed 0 unused cells and 207 unused wires. 3. Executing CXXRTL backend. 3.1. Executing HIERARCHY pass (managing design hierarchy). 3.1.1. Finding top of design hierarchy.. root of 0 design levels: always_full Automatically selected always_full as design top module. 3.1.2. Analyzing design hierarchy.. Top module: \always_full 3.1.3. Analyzing design hierarchy.. Top module: \always_full Removed 0 unused modules. Module always_full directly or indirectly displays text -> setting "keep" attribute. 3.2. Executing FLATTEN pass (flatten design). 3.3. Executing PROC pass (convert processes to netlists). 3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.3.4. Executing PROC_INIT pass (extract init attributes). 3.3.5. Executing PROC_ARST pass (detect async resets in processes). 3.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. End of script. Logfile hash: af8795c7c4, CPU: user 0.04s system 0.01s, MEM: 22.30 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 31% 2x read_verilog (0 sec), 19% 2x write_cxxrtl (0 sec), ... Test: code_tidbits_blocking -> ok + cc -std=c++11 -o yosys-always_full -I../../backends/cxxrtl/runtime always_full_tb.cc -lstdc++ Test: code_tidbits_asyn_reset -> ok make -C tests/arch/efinix -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/efinix' Test: code_hdl_models_up_counter -> ok Checking inverter.aig. [48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: fsm -> ok Test: dff_init -> ok Test: t_clock_a4_wNEGrPOSsFalse -> ok Test: hierarchy -> ok Test: t_clock_a4_wNEGrNEGsFalse -> ok [49][18]Testing on semicolextra.lib.. Test: code_tidbits_nonblocking -> ok [19]Test: constpower -> ok make -C tests/arch/gatemate -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/gatemate' Test: attrib08_mod_inst -> ok Test: func_block -> ok make -C tests/arch/gowin -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/gowin' Checking notcnt1.aig. [50]Test: t_clock_a4_wPOSrNEGsFalse -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed ecp5-bug1459.ys make -C tests/arch/ice40 -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/ice40' Test: t_clock_a4_wPOSrANYsFalse -> ok make -C tests/arch/intel_alm -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/intel_alm' Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Test: graphtest -> ok make -C tests/arch/machxo2 -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/machxo2' [51]Test: code_tidbits_fsm_using_always -> ok Test: code_hdl_models_up_counter_load -> ok [52]Checking notcnt1e.aig. Warning: Whitebox '$paramod\TRELLIS_FF\REGSET=t24'010100110100010101010100' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Passed efinix-add_sub.ys xprop_xnor_2u2_2: ok xprop_xnor_2u2_2: ok Test: code_tidbits_fsm_using_function -> ok Test: t_async_big -> ok Test: t_clock_a4_wPOSrPOSsFalse -> ok [53]Testing on semicolmissing.lib.. Passed memory_bram test 04_00. Test: func_width_scope -> ok Test: t_clock_a4_wANYrANYsTrue -> ok [54]Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits. Checking or_.aig. Test: attrib09_case -> ok Test: t_clock_a4_wNEGrPOSsTrue -> ok [55]Passed ecp5-bug2731.ys [6]Test: code_hdl_models_up_down_counter -> ok [56]Running libcache.ys.. Checking symbols.aig. Passed efinix-counter.ys Test: code_tidbits_fsm_using_single_always -> ok [57]Running options_test.ys.. xprop_add_5u3_3: ok xprop_add_5u3_3: ok xprop_sub_5u3_3: ok xprop_sub_5u3_3: ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed anlogic-counter.ys Passed ecp5-bug1598.ys xprop_add_5s3_3: ok xprop_add_5s3_3: ok xprop_sub_5s3_3: ok xprop_sub_5s3_3: ok [7]Passed efinix-adffs.ys Test: carryadd -> ok make -C tests/arch/microchip -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/microchip' Test: ifdef_1 -> ok ...passed tests in tests/liberty Checking toggle-re.aig. [58]Test: code_specman_switch_fabric -> ok Passed anlogic-shifter.ys xprop_mul_5u3_3: ok xprop_mul_5u3_3: ok [8][59]Passed anlogic-logic.ys [9]Passed ecp5-bug2409.ys [10]xprop_mul_5s3_3: ok xprop_mul_5s3_3: ok make -C tests/arch/nanoxplore -f run-test.mk [60]make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/nanoxplore' Passed anlogic-tribuf.ys make -C tests/arch/nexus -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/nexus' Checking toggle.aig. Passed ecp5-counter.ys Passed intel_alm-add_sub.ys make -C tests/arch/quicklogic/pp3 -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/quicklogic/pp3' [61]Test: const_func_shadow -> ok make -C tests/arch/quicklogic/qlf_k6n10f -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/quicklogic/qlf_k6n10f' Test: t_clock_a4_wNEGrNEGsTrue -> ok xprop_div_5u3_3: ok xprop_div_5u3_3: ok Passed ecp5-bug1836.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: The new network has no primary inputs. It is recommended Test: dff_different_styles -> ok to add a dummy PI to make sure all commands work correctly. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Test: t_clock_a4_wPOSrPOSsTrue -> ok [62]Passed efinix-dffs.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_unmixed -> ok Test: t_mixed_18_9 -> ok Test: t_clock_a4_wPOSrNEGsTrue -> ok Checking true.aig. Passed intel_alm-blockram.ys [63]Test: t_sync_big_lut -> ok Test: t_mixed_9_18 -> ok Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. KPassed gowin-add_sub.ys [64]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Running io.ys. Warning: reg '\out' is assigned in a continuous assignment at < ok Test: test_simulation_shifter -> ok ...passed tests in tests/aiger [66]Test: case_expr_const -> ok Passed machxo2-add_sub.ys KWarning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed anlogic-lutram.ys Passed pp3-add_sub.ys Test: const_branch_finish -> ok [67]Passed anlogic-fsm.ys Test: case_expr_non_const -> ok Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. [68]Warning: Complex async reset for dff `\Q'. Test: code_tidbits_reg_seq_example -> ok [69]xprop_mod_5u3_3: ok xprop_mod_5u3_3: ok [11]Kxprop_div_5s3_3: ok xprop_div_5s3_3: ok Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. KWarning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. [70]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Test: hierdefparam -> ok Test: abc9 -> ok [71]xprop_mod_5s3_3: ok xprop_mod_5s3_3: ok Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed ecp5-latches_abc9.ys Test: i2c_master_tests -> ok Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed anlogic-dffs.ys [72]Passed efinix-fsm.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Complex async reset for dff `\Q'. Test: ifdef_2 -> ok Test: asgn_binop -> ok Kmake -C tests/arch/xilinx -f run-test.mk [73]make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/arch/xilinx' Test: const_fold_func -> ok Test: issue00335 -> ok make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/memories' Testing expectations for amber23_sram_byte_en.v ..Test: code_hdl_models_uart -> ok [74][75]Test: constmuldivmod -> ok Passed efinix-latches.ys [76]Passed ecp5-logic.ys Passed gowin-counter.ys Passed pp3-counter.ys [77]Passed gowin-compare.ys [78]Passed ecp5-fsm.ys ERROR: FF myDFFP.$auto$ff.cc:266:slice$671 (type $_DFF_PP1_) cannot be legalized: unsupported initial value and async reset value combination Expected error pattern 'unsupported initial value and async reset value combination' found !!! Passed gowin-init-error.ys [12][79]Test: dynslice -> ok make -C tests/bugpoint -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/bugpoint' Passed ecp5-macc.ys ok. Testing expectations for implicit_en.v ..[80]ERROR: Missing -script or -command option. Expected error pattern 'Missing -script or -command option.' found !!! Passed memory_bram test 04_03. make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/bram' ...passed tests in tests/bram Warning: Ignoring boxed module dffepc. xprop_divfloor_5u3_3: ok xprop_divfloor_5u3_3: ok [81]Warning: Ignoring boxed module $__PP3_DFFEPC_SYNCONLY_$abc9_flop. Passed intel_alm-adffs.ys Passed efinix-logic.ys ERROR: The provided script file or command and Yosys binary do not crash on this design! Expected error pattern 'do not crash on this design' found !!! ok. Testing expectations for issue00335.v ..[82]ERROR: The provided script file or command and Yosys binary returned value 3 instead of expected 7 on this design! Expected error pattern 'returned value 3 instead of expected 7' found !!! [83]ERROR: The provided grep string is not found in the log file! Expected error pattern 'not found in the log file!' found !!! Passed ecp5-dffs.ys Passed efinix-lutram.ys ok. Testing expectations for issue00710.v ..[84]ERROR: The provided grep string is not found in stderr log! Expected error pattern 'not found in stderr log!' found !!! KPassed bugpoint-failures.ys [85]xprop_divfloor_5s3_3: ok xprop_divfloor_5s3_3: ok ok. Testing expectations for no_implicit_en.v ..[86]Passed ice40-bug1626.ys [87]Test: forgen01 -> ok ok. Testing expectations for read_arst.v ..[88]+ ./cxxrtl-test-value_fuzz Randomized tests for value::shl: ok. Testing expectations for read_two_mux.v ..[89]Test: forgen02 -> ok xprop_modfloor_5u3_3: ok xprop_modfloor_5u3_3: ok Passed ice40-add_sub.ys KTest: dff_init -> ok [90]Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. ERROR: help me Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. ok. Testing expectations for shared_ports.v ..Passed pp3-adffs.ys Test: forloops -> ok Test: fiedler-cooley -> ok [91]KKPassed anlogic-latches.ys Passed gowin-fsm.ys Passed intel_alm-counter.ys [92] ok. Testing expectations for simple_sram_byte_en.v ..Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed ice40-bug1598.ys [93]Warning: Complex async reset for dff `\Q'. Warning: Ignoring boxed module dffepc. Warning: Ignoring boxed module $__PP3_DFFEPC_SYNCONLY_$abc9_flop. ERROR: help me Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: test_intermout -> ok [94] ok. Testing expectations for trans_addr_enable.v ..Passed efinix-shifter.ys Test: constpower -> ok [95]Passed ice40-bug1597.ys ERROR: No 'raise_error' attribute found ok. Testing expectations for trans_sdp.v ..ERROR: No 'raise_error' attribute found [96]ERROR: help me Passed machxo2-counter.ys Passed efinix-tribuf.ys [97]Test: const_func_shadow -> ok Passed ecp5-dpram.ys Test: dff_different_styles -> ok ok. Testing expectations for trans_sp.v ..ERROR: help me Test: test_simulation_techmap_tech -> ok make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/hana' ...passed tests in tests/hana Test: t_async_big_block -> ok ERROR: help me KPassed pp3-logic.ys [98] ok. Testing expectations for wide_all.v ..[99] Passed gowin-dffs.ys ...passed tests in tests/share Passed ice40-bug2061.ys ok. Testing expectations for wide_read_async.v ..Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. xprop_modfloor_5s3_3: ok xprop_modfloor_5s3_3: ok ok. Testing expectations for wide_read_mixed.v ..Test: localparam_attr -> ok [20]Test: fsm -> ok KWarning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. ok. Testing expectations for wide_read_sync.v ..Passed pp3-dffs.ys Test: code_tidbits_syn_reset -> ok Test: t_mixed_36_9 -> ok Passed qlf_k6n10f-add_sub.ys ok. Testing expectations for wide_read_trans.v ..Test: loop_var_shadow -> ok make -C tests/opt -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/opt' Passed intel_alm-dffs.ys Passed opt-bug1525.ys ERROR: No 'raise_error' attribute found Passed pp3-latches.ys Test: loop_prefix_case -> ok Passed bugpoint-raise_error.ys Passed efinix-mux.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/efinix' ...passed tests in tests/arch/efinix ok. Testing expectations for wide_thru_priority.v ..Test: macro_arg_surrounding_spaces -> ok Passed opt-bug1758.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed opt-bug1854.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed opt-bug2221.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed opt-bug2010.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed opt-bug2311.ys ok. Testing expectations for wide_write.v ..Warning: Wire adff.q has an unprocessed 'init' attribute. Passed gatemate-add_sub.ys Passed ecp5-latches.ys Warning: Complex async reset for dff `\Q'. Passed ice40-counter.ys Passed ecp5-adffs.ys ok. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. ...passed tests in tests/memories Passed opt-bug2318.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Test: t_mixed_4_2 -> ok Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed opt-bug2623.ys Test: generate -> ok Passed opt-bug2765.ys Test: code_tidbits_wire_example -> ok Warning: Complex async reset for dff `\Q'. Test: func_block -> ok Passed opt-bug2766.ys Passed qlf_k6n10f-counter.ys Passed opt-bug2824.ys Test: t_tdp -> ok Passed opt-bug2920.ys Passed machxo2-adffs.ys Test: macros -> ok Passed opt-bug3047.ys [13]Passed opt-bug3117.ys Test: loops -> ok Passed gowin-logic.ys Passed opt-bug3848.ys Passed opt-bug3867.ys Passed gowin-adffs.ys Passed opt-bug4610.ys Passed opt-bug4413.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed anlogic-mux.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed opt-bug5164.ys xprop_lt_5u3_2: ok xprop_lt_5u3_2: ok Passed opt-memory_bmux2rom.ys Test: t_sync_2clk -> ok Passed gatemate-counter.ys Passed qlf_k6n10f-fsm.ys Test: func_recurse -> ok Passed intel_alm-logic.ys Passed pp3-mux.ys Passed bugpoint-proc_constraints.ys Test: t_sync_shared -> ok Passed opt-memory_dff_trans.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed gowin-shifter.ys Passed intel_alm-fsm.ys Passed gowin-tribuf.ys Test: genblk_collide -> ok Passed opt-memory_map_offset.ys Test: constmuldivmod -> ok In file included from /usr/include/c++/15.2.0/map:64, from ../../backends/cxxrtl/runtime/cxxrtl/cxxrtl.h:37, from yosys-always_full.cc:1, from always_full_tb.cc:1: /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::__enable_if_t<((bool)std::is_same<_Val, typename std::iterator_traits<_InputIterator>::value_type>::value)> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_range_unique(_InputIterator, _InputIterator) [with _InputIterator = const std::pair, cxxrtl::metadata>*; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:1727:30: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 1727 | _M_insert_unique_(end(), *__first, __an); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~ Passed opt-opt_clean_init.ys Test: genblk_dive -> ok [14]Passed opt-opt_clean_mem.ys Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: func_width_scope -> ok Passed opt-opt_dff-simplify.ys Passed pp3-tribuf.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/quicklogic/pp3' ...passed tests in tests/arch/quicklogic/pp3 /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_unique_(const_iterator, _Arg&&, _NodeGen&) [with _Arg = const std::pair, cxxrtl::metadata>&; _NodeGen = std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::_Alloc_node; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2892:7: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2892 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Test: t_sync_2clk_shared -> ok /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::pair::rebind<_Val>::other>::pointer>::_Base_ptr, typename std::__rb_tree::_Node_traits<_Val, typename __gnu_cxx::__alloc_traits::rebind<_Val>::other>::pointer>::_Base_ptr> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_get_insert_hint_unique_pos(const_iterator, const key_type&) [with _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2832:5: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2832 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed opt-opt_dff_arst.ys Passed machxo2-dffs.ys Passed anlogic-blockram.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/anlogic' ...passed tests in tests/arch/anlogic Passed opt-opt_dff_clk.ys KPassed machxo2-fsm.ys Test: t_sync_trans_old_old -> ok xprop_lt_5s3_2: ok xprop_lt_5s3_2: ok Passed gatemate-fsm.ys Passed ice40-fsm.ys Passed intel_alm-shifter.ys Test: t_sync_trans_old_new -> ok Passed opt-opt_dff_const.ys + ./yosys-always_full Passed qlf_k6n10f-logic.ys + iverilog -o iverilog-always_full always_full.v always_full_tb.v + ./iverilog-always_full + grep -v '\$finish called' + diff iverilog-always_full.log yosys-always_full.log + test_cxxrtl always_comb + local subtest=always_comb + shift + ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' -- 1. Executing Verilog-2005 frontend: always_comb.v Parsing Verilog input from `always_comb.v' to AST representation. Generating RTLIL representation for module `\top'. Generating RTLIL representation for module `\sub'. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 4 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\top.$proc$always_comb.v:3$13'. Set init value: \b = 1'0 Found init rule in `\top.$proc$always_comb.v:2$12'. Set init value: \a = 1'0 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\sub.$proc$always_comb.v:23$15'. 1/1: $display$0xf7227a8c:23$19_EN Creating decoders for process `\top.$proc$always_comb.v:3$13'. Creating decoders for process `\top.$proc$always_comb.v:2$12'. Creating decoders for process `\top.$proc$always_comb.v:8$1'. 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\top.\a' using process `\top.$proc$always_comb.v:8$1'. created $dff cell `$procdff$22' with positive edge clock. Creating register for signal `\top.\b' using process `\top.$proc$always_comb.v:8$1'. created $dff cell `$procdff$23' with positive edge clock. 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\sub.$proc$always_comb.v:23$15'. Removing empty process `sub.$proc$always_comb.v:23$15'. Removing empty process `top.$proc$always_comb.v:3$13'. Removing empty process `top.$proc$always_comb.v:2$12'. Removing empty process `top.$proc$always_comb.v:8$1'. Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module sub. Optimizing module top. Removed 0 unused cells and 7 unused wires. 3. Executing CXXRTL backend. 3.1. Executing HIERARCHY pass (managing design hierarchy). 3.1.1. Finding top of design hierarchy.. root of 0 design levels: sub root of 1 design levels: top Automatically selected top as design top module. 3.1.2. Analyzing design hierarchy.. Top module: \top Used module: \sub 3.1.3. Analyzing design hierarchy.. Top module: \top Used module: \sub Removed 0 unused modules. Module sub directly or indirectly displays text -> setting "keep" attribute. Module top directly or indirectly displays text -> setting "keep" attribute. 3.2. Executing FLATTEN pass (flatten design). Deleting now unused module sub. 3.3. Executing PROC pass (convert processes to netlists). 3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.3.4. Executing PROC_INIT pass (extract init attributes). 3.3.5. Executing PROC_ARST pass (detect async resets in processes). 3.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module top. < End of script. Logfile hash: 5d9c589397, CPU: user 0.03s system 0.00s, MEM: 20.95 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 32% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + cc -std=c++11 -o yosys-always_comb -I../../backends/cxxrtl/runtime always_comb_tb.cc -lstdc++ Passed gatemate-logic.ys Passed opt-opt_dff_dffmux.ys Passed ice40-dffs.ys < ok Test: t_sync_trans_old_none -> ok Test: code_verilog_tutorial_addbit -> ok KWarning: Wire adffn.q has an unprocessed 'init' attribute. K[15]Test: code_verilog_tutorial_bus_con -> ok [16]Passed machxo2-logic.ys ERROR: Assertion failed: selection is not empty: w:w_a %co* w:w_c %ci* %i Selection contains: top/c_c top/c_b top/c_a top/w_c top/w_b top/w_a Test: code_verilog_tutorial_counter -> ok make -C tests/sat -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/sat' Test: t_sync_trans_new_old -> ok Warning: Wire my_dffe.q has an unprocessed 'init' attribute. ERROR: Assertion failed: selection is not empty: w:w_a %co* w:w_c %ci* %i Selection contains: top/c_b top/c_a top/w_c top/w_b top/w_a Passed sat-asserts.ys Passed gatemate-shifter.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: code_verilog_tutorial_comment -> ok [17]Passed sat-asserts_seq.ys ERROR: Assertion failed: selection is not empty: w:w_a %co* w:w_c %ci* %i Selection contains: top/c_b top/c_a top/w_c top/w_b top/w_a Passed sat-bug2595.ys Passed qlf_k6n10f-dffs.ys xprop_le_5u3_2: ok xprop_le_5u3_2: ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! ERROR: Assertion failed: selection is not empty: w:w_a %co* w:w_c %ci* %i Selection contains: top top/c_b top/c_a top/w_c top/w_b top/w_a Passed intel_alm-mux.ys Warning: Complex async reset for dff `\q [12]'. Warning: Complex async reset for dff `\q [8]'. Passed opt-opt_dff_en.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed intel_alm-mul.ys [18]Test: t_sync_trans_new_new -> ok Passed machxo2-shifter.ys Test: code_verilog_tutorial_d_ff -> ok Test: code_hdl_models_cam -> ok [19]Passed gowin-mux.ys Test: mem2reg -> ok Test: t_sync_trans_new_none -> ok Test: code_verilog_tutorial_decoder -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: code_verilog_tutorial_escape_id -> ok Passed opt-opt_dff_mux.ys Test: code_verilog_tutorial_decoder_always -> ok KPassed opt-opt_dff_qd.ys In file included from /usr/include/c++/15.2.0/map:64, from ../../backends/cxxrtl/runtime/cxxrtl/cxxrtl.h:37, from yosys-always_comb.cc:1, from always_comb_tb.cc:1: /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::__enable_if_t<((bool)std::is_same<_Val, typename std::iterator_traits<_InputIterator>::value_type>::value)> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_range_unique(_InputIterator, _InputIterator) [with _InputIterator = const std::pair, cxxrtl::metadata>*; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:1727:30: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 1727 | _M_insert_unique_(end(), *__first, __an); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~ Test: t_sp_nc_none -> ok Passed ecp5-mul.ys Test: code_verilog_tutorial_first_counter -> ok /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_unique_(const_iterator, _Arg&&, _NodeGen&) [with _Arg = const std::pair, cxxrtl::metadata>&; _NodeGen = std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::_Alloc_node; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2892:7: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2892 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ K/usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::pair::rebind<_Val>::other>::pointer>::_Base_ptr, typename std::__rb_tree::_Node_traits<_Val, typename __gnu_cxx::__alloc_traits::rebind<_Val>::other>::pointer>::_Base_ptr> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_get_insert_hint_unique_pos(const_iterator, const key_type&) [with _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2832:5: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2832 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Test: code_verilog_tutorial_explicit -> ok Test: t_sp_new_none -> ok Test: t_sp_old_none -> ok Test: t_sp_nc_nc -> ok Test: t_sp_new_nc -> ok K[20]Test: code_verilog_tutorial_flip_flop -> ok xprop_le_5s3_2: ok xprop_le_5s3_2: ok Test: mem2reg_bounds_tern -> ok K+ ./yosys-always_comb + iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v + ./iverilog-always_comb + grep -v '\$finish called' + diff iverilog-always_comb.log yosys-always_comb.log + ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog always_full.v; prep; clean' -- 1. Executing Verilog-2005 frontend: always_full.v Parsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). Module always_full directly or indirectly displays text -> setting "keep" attribute. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 207 redundant assignments. Promoted 207 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\always_full.$proc$always_full.v:3$1'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `always_full.$proc$always_full.v:3$1'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.3. Executing FUTURE pass. 2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. Removed 0 unused cells and 207 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Checking module always_full... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\always_full'. Removed a total of 0 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \always_full.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \always_full. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\always_full'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. 2.7.7. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.7.8. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). Test: t_sp_old_nc -> ok 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Optimizing module always_full. 2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\always_full'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \always_full.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. === always_full === +----------Local Count, excluding submodules. | 1 wires 1 wire bits 1 public wires 1 public wire bits 1 ports 1 port bits 207 cells 207 $print 2.13. Executing CHECK pass (checking for obvious problems). Checking module always_full... Found and reported 0 problems. -- Writing to `yosys-always_full-1.v' using backend `verilog' -- 3. Executing Verilog backend. 3.1. Executing BMUXMAP pass. 3.2. Executing DEMUXMAP pass. Dumping module `\always_full'. End of script. Logfile hash: 7983665bd1, CPU: user 0.07s system 0.01s, MEM: 22.20 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 22% 4x opt_clean (0 sec), 17% 1x prep (0 sec), ... + iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v + ./iverilog-always_full-1 + grep -v '\$finish called' + diff iverilog-always_full.log iverilog-always_full-1.log + ../../yosys -p 'read_verilog display_lm.v' Test: module_scope -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! + ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' Test: generate -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' -- 1. Executing Verilog-2005 frontend: display_lm.v Parsing Verilog input from `display_lm.v' to AST representation. Generating RTLIL representation for module `\top'. Generating RTLIL representation for module `\mid'. Generating RTLIL representation for module `\bot'. %l: \bot %m: \bot Successfully finished Verilog frontend. 2. Executing CXXRTL backend. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Finding top of design hierarchy.. root of 0 design levels: bot root of 1 design levels: mid root of 2 design levels: top Automatically selected top as design top module. 2.1.2. Analyzing design hierarchy.. Top module: \top Used module: \mid Used module: \bot 2.1.3. Analyzing design hierarchy.. Top module: \top Used module: \mid Used module: \bot Removed 0 unused modules. Module bot directly or indirectly displays text -> setting "keep" attribute. Module mid directly or indirectly displays text -> setting "keep" attribute. Module top directly or indirectly displays text -> setting "keep" attribute. 2.2. Executing FLATTEN pass (flatten design). Deleting now unused module bot. Deleting now unused module mid. 2.3. Executing PROC pass (convert processes to netlists). 2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 2 redundant assignments. Promoted 2 assignments to connections. 2.3.4. Executing PROC_INIT pass (extract init attributes). 2.3.5. Executing PROC_ARST pass (detect async resets in processes). 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:10$3'. Creating decoders for process `\top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. 2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:10$3'. Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. Cleaned up 0 empty switches. 2.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module top. End of script. Logfile hash: ba0468a5ee, CPU: user 0.02s system 0.00s, MEM: 20.90 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 39% 1x opt_expr (0 sec), 18% 2x read_verilog (0 sec), ... + cc -std=c++11 -o yosys-display_lm_cc -I../../backends/cxxrtl/runtime display_lm_tb.cc -lstdc++ Test: t_sp_nc_new -> ok Test: t_sp_new_new -> ok Test: graphtest -> ok Passed ecp5-opt_lut_ins.ys make -C tests/sim -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/sim' Passed sim-assume_x_first_step.ys Test: case_large -> ok [21]Passed intel_alm-tribuf.ys [22]Passed sim-sim_adff.ys make -C tests/svtypes -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/svtypes' Passed sim-sim_adffe.ys Test: code_verilog_tutorial_good_code -> ok Passed sim-sim_adlatch.ys Passed bugpoint-mod_constraints.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/bugpoint' ...passed tests in tests/bugpoint Test: hierarchy -> ok Passed svtypes-enum_simple.ys Warning: Async reset value `\ad' is not constant! Passed sim-sim_aldff.ys Passed gatemate-dffs.ys Test: code_verilog_tutorial_if_else -> ok Test: t_sp_old_new -> ok Warning: Async reset value `\ad' is not constant! Passed ice40-ice40_dsp.ys Passed svtypes-logic_rom.ys Passed sim-sim_aldffe.ys Passed ice40-ice40_wrapcarry.ys < ok Warning: No SAT model available for async FF cell $techmap$mul$< ok Passed sim-sim_sdffce.ys Passed sim-sim_sdffe.ys Passed ice40-ice40_dsp_const.ys Passed svtypes-struct_dynamic_range.ys Passed sim-vcd_var_reference_whitespace.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/sim' ...passed tests in tests/sim xprop_eq_5u3_2: ok xprop_eq_5u3_2: ok make -C tests/various -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/various' Warning: reg '\var_12' is assigned in a continuous assignment at typedef_initial_and_assign.sv:67.9-67.19. Warning: reg '\var_13' is assigned in a continuous assignment at typedef_initial_and_assign.sv:71.9-71.19. Warning: reg '\var_14' is assigned in a continuous assignment at typedef_initial_and_assign.sv:74.9-74.19. Warning: reg '\var_15' is assigned in a continuous assignment at typedef_initial_and_assign.sv:78.9-78.19. Warning: reg '\var_16' is assigned in a continuous assignment at typedef_initial_and_assign.sv:81.9-81.19. Warning: reg '\var_17' is assigned in a continuous assignment at typedef_initial_and_assign.sv:85.9-85.19. Warning: reg '\var_18' is assigned in a continuous assignment at typedef_initial_and_assign.sv:88.9-88.19. Warning: reg '\var_19' is assigned in a continuous assignment at typedef_initial_and_assign.sv:92.9-92.19. Passed svtypes-typedef_initial_and_assign.ys Passed opt-opt_dff_srst.ys Passed svtypes-typedef_memory.ys Passed intel_alm-lutram.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/intel_alm' ...passed tests in tests/arch/intel_alm Warning: Wire dffs.q has an unprocessed 'init' attribute. Test: t_sp_new_old -> ok Warning: Wire abc9_test027.$abc$91$o is used but has no driver. Passed svtypes-typedef_memory_2.ys Passed nexus-counter.ys KPassed svtypes-typedef_struct_global.ys Passed ecp5-lutram.ys [21]Test: hierdefparam -> ok make -C tests/verilog -f run-test.mk make[1]: Entering directory '/home/buildozer/aports/testing/yosys/src/tests/verilog' < ok Passed verilog-always_comb_latch_1.ys Test: mem_arst -> ok In file included from /usr/include/c++/15.2.0/map:64, from ../../backends/cxxrtl/runtime/cxxrtl/cxxrtl.h:37, from yosys-display_lm.cc:1, from display_lm_tb.cc:1: /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::__enable_if_t<((bool)std::is_same<_Val, typename std::iterator_traits<_InputIterator>::value_type>::value)> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_range_unique(_InputIterator, _InputIterator) [with _InputIterator = const std::pair, cxxrtl::metadata>*; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:1727:30: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 1727 | _M_insert_unique_(end(), *__first, __an); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~ Passed opt-opt_expr.ys Passed svtypes-multirange_array.sv ERROR: Latch inferred for signal `\top.$unnamed_block$1.y' from always_comb process `\top.$proc$<::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_unique_(const_iterator, _Arg&&, _NodeGen&) [with _Arg = const std::pair, cxxrtl::metadata>&; _NodeGen = std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::_Alloc_node; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2892:7: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2892 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Passed svtypes-static_cast_simple.sv Passed verilog-always_comb_nolatch_1.ys Warning: Shift register inference not yet supported for family xc3s. Test: t_sp_old_old -> ok /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::pair::rebind<_Val>::other>::pointer>::_Base_ptr, typename std::__rb_tree::_Node_traits<_Val, typename __gnu_cxx::__alloc_traits::rebind<_Val>::other>::pointer>::_Base_ptr> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_get_insert_hint_unique_pos(const_iterator, const key_type&) [with _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2832:5: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2832 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Passed opt-opt_expr_and.ys struct_array.sv:22: Warning: Range [3:-4] select out of bounds on signal `\s': Setting 4 LSB bits to undef. struct_array.sv:23: Warning: Range select [23:16] out of bounds on signal `\s': Setting all 8 result bits to undef. struct_array.sv:24: Warning: Range [19:12] select out of bounds on signal `\s': Setting 4 MSB bits to undef. struct_array.sv:45: Warning: Range [3:-4] select out of bounds on signal `\s_s': Setting 4 LSB bits to undef. struct_array.sv:46: Warning: Range select [23:16] out of bounds on signal `\s_s': Setting all 8 result bits to undef. struct_array.sv:47: Warning: Range [19:12] select out of bounds on signal `\s_s': Setting 4 MSB bits to undef. struct_array.sv:15: Warning: Range [-1:-8] select out of bounds on signal `\s': Setting 8 LSB bits to undef. struct_array.sv:38: Warning: Range [-1:-8] select out of bounds on signal `\s_s': Setting 8 LSB bits to undef. Passed verilog-always_comb_nolatch_2.ys Passed qlf_k6n10f-latches.ys Passed verilog-always_comb_nolatch_3.ys Passed opt-opt_expr_alu.ys Passed svtypes-struct_array.sv Passed verilog-always_comb_nolatch_4.ys Passed verilog-always_comb_nolatch_5.ys Passed svtypes-struct_simple.sv Passed opt-opt_expr_cmp.ys Warning: wire '\a' is assigned in a block at < ok < ok Passed opt-opt_expr_consumex.ys Passed verilog-asgn_expr_not_proc_3.ys Test: i2c_master_tests -> ok Passed svtypes-typedef_param.sv < ok < ok < ok [27]Passed verilog-bug656.ys Passed various-abstract_value.ys < ok Passed opt-opt_expr_shift.ys Passed nexus-fsm.ys < ok KPassed verilog-const_arst.ys Passed opt-opt_expr_xor.ys [28]Warning: Complex async reset for dff `\q'. Passed opt-opt_expr_xnor.ys [29][28]Passed verilog-const_sr.ys xprop_ne_5u3_2: ok xprop_ne_5u3_2: ok < ok < ok Passed various-attrib05_port_conn.ys < ok < ok Passed techmap-autopurge.ys Passed various-bug1781.ys Passed various-bug1876.ys KPassed techmap-bmuxmap_pmux.ys Passed verilog-func_task_arg_copying.ys Passed various-bug2014.ys Passed various-bug3462.ys Passed verilog-func_tern_hint.ys Test: code_verilog_tutorial_parity -> ok Passed opt-opt_lut_port.ys Passed verilog-func_typename_ret.ys Test: t_sp_old_new_only_be -> ok K/home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2663: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_RDATA': Setting 18 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2709: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_RDATA': Setting 18 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Range [4:1] select out of bounds on signal `\PORT_A1_WR_BE': Setting 3 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Ignoring assignment to constant bits: old assignment: { 3'x \PORT_A1_WR_BE [1] } = 4'0000 new assignment: \PORT_A1_WR_BE [1] = 1'0. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2579: Warning: Range [3:0] select out of bounds on signal `\PORT_A1_WR_BE': Setting 2 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2579: Warning: Ignoring assignment to constant bits: old assignment: { 2'x \PORT_A1_WR_BE } = \PORT_A1_WR_BE_i new assignment: \PORT_A1_WR_BE = \PORT_A1_WR_BE_i [1:0]. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2588: Warning: Range [4:1] select out of bounds on signal `\PORT_B1_WR_BE': Setting 3 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2588: Warning: Ignoring assignment to constant bits: old assignment: { 3'x \PORT_B1_WR_BE [1] } = 4'0000 new assignment: \PORT_B1_WR_BE [1] = 1'0. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2589: Warning: Range [3:0] select out of bounds on signal `\PORT_B1_WR_BE': Setting 2 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2589: Warning: Ignoring assignment to constant bits: old assignment: { 2'x \PORT_B1_WR_BE } = \PORT_B1_WR_BE_i new assignment: \PORT_B1_WR_BE = \PORT_B1_WR_BE_i [1:0]. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2635: Warning: Range [36:17] select out of bounds on signal `\PORT_A1_WDATA': Setting 19 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2635: Warning: Ignoring assignment to constant bits: old assignment: { 19'x \PORT_A1_WDATA [17] } = 20'00000000000000000000 new assignment: \PORT_A1_WDATA [17] = 1'0. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2636: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_WDATA': Setting 18 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2636: Warning: Ignoring assignment to constant bits: old assignment: { 18'x \PORT_A1_WDATA } = \PORT_A1_WR_DATA_i new assignment: \PORT_A1_WDATA = \PORT_A1_WR_DATA_i [17:0]. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2681: Warning: Range [36:17] select out of bounds on signal `\PORT_B1_WDATA': Setting 19 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2681: Warning: Ignoring assignment to constant bits: old assignment: { 19'x \PORT_B1_WDATA [17] } = 20'00000000000000000000 new assignment: \PORT_B1_WDATA [17] = 1'0. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_WDATA': Setting 18 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Ignoring assignment to constant bits: old assignment: { 18'x \PORT_B1_WDATA } = \PORT_B1_WR_DATA_i new assignment: \PORT_B1_WDATA = \PORT_B1_WR_DATA_i [17:0]. KPassed ecp5-rom.ys Passed various-bug3879.ys Test: code_verilog_tutorial_simple_if -> ok Passed various-bug4865.ys Passed various-bug4082.ys Warning: Wire top.\y [11] is used but has no driver. Warning: Wire top.\y [10] is used but has no driver. Warning: Wire top.\y [9] is used but has no driver. Warning: Wire top.\y [8] is used but has no driver. Warning: Wire top.\y [7] is used but has no driver. Warning: Wire top.\y [6] is used but has no driver. Warning: Wire top.\y [5] is used but has no driver. Warning: Wire top.$auto$bugpoint.cc:258:simplify_something$12 [3] is used but has no driver. Warning: Wire top.$auto$bugpoint.cc:258:simplify_something$12 [2] is used but has no driver. Warning: Wire top.$auto$bugpoint.cc:258:simplify_something$12 [1] is used but has no driver. Warning: Wire top.$auto$bugpoint.cc:258:simplify_something$12 [0] is used but has no driver. Warning: Wire top.$delete_wire$14 is used but has no driver. Passed various-bug4909.ys Test: code_verilog_tutorial_task_global -> ok Passed various-cellarray_array_connections.ys Test: t_sp_nc_new_be -> ok Passed microchip-dff_opt.ys Warning: Resizing cell port TB.uut.data_out from 8 bits to 32 bits. Warning: Resizing cell port TB.uut.address_in_r from 10 bits to 8 bits. Test: ifdef_1 -> ok Warning: found logic loop in module top: cell $xor$< Y[0] wire \ripple [0] source: < ok Passed techmap-buf.ys Passed gatemate-latches.ys Warning: Wire ndffnr.q has an unprocessed 'init' attribute. Passed qlf_k6n10f-dsp.ys Warning: found logic loop in module pingpong: cell $memrd$\mem$< DATA[1] wire \y1 [1] source: < DATA[2] wire \y2 [2] source: < DATA[2] wire \y1 [2] source: < DATA[3] wire \y2 [3] source: < DATA[2] wire \y1 [2] source: < DATA[3] wire \y2 [3] source: < DATA[1] wire \y1 [1] source: < DATA[2] wire \y2 [2] source: < DATA[3] wire \y1 [3] source: < DATA[3] wire \y2 [3] source: < DATA[3] wire \y1 [3] source: < DATA[3] wire \y2 [3] source: < DATA[1] wire \y1 [1] source: < DATA[2] wire \y2 [2] source: < DATA[2] wire \y2 [2] source: < DATA[0] wire \y1 [0] source: < DATA[3] wire \y2 [3] source: < DATA[1] wire \y1 [1] source: < DATA[3] wire \y2 [3] source: < DATA[1] wire \y1 [1] source: < DATA[2] wire \y2 [2] source: < DATA[0] wire \y1 [0] source: < ok Passed sat-expose_dff.ys Passed verilog-gen_block_end_label_only.ys Warning: found logic loop in module pingpong: cell mem ($mem_v2) source: < RD_DATA[1] wire \y1 [1] source: < RD_DATA[6] wire \y2 [2] source: < RD_DATA[2] wire \y1 [2] source: < RD_DATA[7] wire \y2 [3] source: < RD_DATA[2] wire \y1 [2] source: < RD_DATA[7] wire \y2 [3] source: < RD_DATA[1] wire \y1 [1] source: < RD_DATA[6] wire \y2 [2] source: < RD_DATA[3] wire \y1 [3] source: < RD_DATA[7] wire \y2 [3] source: < RD_DATA[3] wire \y1 [3] source: < RD_DATA[7] wire \y2 [3] source: < RD_DATA[1] wire \y1 [1] source: < RD_DATA[6] wire \y2 [2] source: < RD_DATA[6] wire \y2 [2] source: < RD_DATA[0] wire \y1 [0] source: < RD_DATA[7] wire \y2 [3] source: < RD_DATA[1] wire \y1 [1] source: < RD_DATA[7] wire \y2 [3] source: < RD_DATA[1] wire \y1 [1] source: < RD_DATA[6] wire \y2 [2] source: < RD_DATA[0] wire \y1 [0] source: < ok Passed various-check_3.ys Warning: wire '\a_q' is assigned in a block at < Y[0] cell $memrd$\mem$< DATA[0] wire \data [0] source: < ok Passed qlf_k6n10f-adffs.ys Test: code_verilog_tutorial_tri_buf -> ok Test: loop_prefix_case -> ok KKTest: loop_var_shadow -> ok Warning: Feature 'bufnorm' is experimental. Test: t_sp_old_new_be -> ok Passed techmap-bufnorm.ys Passed various-chformal_coverenable.ys Passed qlf_k6n10f-div.ys Passed sat-grom.ys Warning: Wire top.\cnt [7] is used but has no driver. Warning: Wire top.\cnt [6] is used but has no driver. Warning: Wire top.\cnt [5] is used but has no driver. Warning: Wire top.\cnt [4] is used but has no driver. Warning: Wire top.\cnt [3] is used but has no driver. Warning: Wire top.\cnt [2] is used but has no driver. Warning: Wire top.\cnt [1] is used but has no driver. Warning: Wire top.\cnt [0] is used but has no driver. Warning: Signal 'top.cnt' in file 8'x in simulation '8'00000000' ERROR: Signal difference Expected error pattern 'Signal difference' found !!! Passed sat-sim_counter.ys [30]Passed sat-sizebits.ys Passed sat-clk2fflogic.ys Test: macro_arg_surrounding_spaces -> ok Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. /home/buildozer/aports/testing/yosys/src/share/ice40/cells_sim.v:41: Warning: Yosys has only limited support for tri-state logic at the moment. Warning: Wire TB.\rq_b [7] is used but has no driver. Warning: Wire TB.\rq_b [6] is used but has no driver. Warning: Wire TB.\rq_b [5] is used but has no driver. Warning: Wire TB.\rq_b [4] is used but has no driver. Warning: Wire TB.\rq_b [3] is used but has no driver. Warning: Wire TB.\rq_b [2] is used but has no driver. Warning: Wire TB.\rq_b [1] is used but has no driver. Warning: Wire TB.\rq_b [0] is used but has no driver. Test: t_sp_nc_old_be -> ok Test: code_verilog_tutorial_which_clock -> ok make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/asicworld' ...passed tests in tests/asicworld KPassed sat-splice.ys Passed opt-opt_lut.ys Test: loops -> ok xprop_eqx_5u3_2: ok xprop_eqx_5u3_2: ok Passed ice40-dpram.ys Warning: Wire TB.\rq_b [35] is used but has no driver. Warning: Wire TB.\rq_b [34] is used but has no driver. Warning: Wire TB.\rq_b [33] is used but has no driver. Warning: Wire TB.\rq_b [32] is used but has no driver. Warning: Wire TB.\rq_b [31] is used but has no driver. Warning: Wire TB.\rq_b [30] is used but has no driver. Warning: Wire TB.\rq_b [29] is used but has no driver. Warning: Wire TB.\rq_b [28] is used but has no driver. Warning: Wire TB.\rq_b [27] is used but has no driver. Warning: Wire TB.\rq_b [26] is used but has no driver. Warning: Wire TB.\rq_b [25] is used but has no driver. Warning: Wire TB.\rq_b [24] is used but has no driver. Warning: Wire TB.\rq_b [23] is used but has no driver. Warning: Wire TB.\rq_b [22] is used but has no driver. Warning: Wire TB.\rq_b [21] is used but has no driver. Warning: Wire TB.\rq_b [20] is used but has no driver. Warning: Wire TB.\rq_b [19] is used but has no driver. Warning: Wire TB.\rq_b [18] is used but has no driver. Warning: Wire TB.\rq_b [17] is used but has no driver. Warning: Wire TB.\rq_b [16] is used but has no driver. Warning: Wire TB.\rq_b [15] is used but has no driver. Warning: Wire TB.\rq_b [14] is used but has no driver. Warning: Wire TB.\rq_b [13] is used but has no driver. Warning: Wire TB.\rq_b [12] is used but has no driver. Warning: Wire TB.\rq_b [11] is used but has no driver. Warning: Wire TB.\rq_b [10] is used but has no driver. Warning: Wire TB.\rq_b [9] is used but has no driver. Warning: Wire TB.\rq_b [8] is used but has no driver. Warning: Wire TB.\rq_b [7] is used but has no driver. Warning: Wire TB.\rq_b [6] is used but has no driver. Warning: Wire TB.\rq_b [5] is used but has no driver. Warning: Wire TB.\rq_b [4] is used but has no driver. Warning: Wire TB.\rq_b [3] is used but has no driver. Warning: Wire TB.\rq_b [2] is used but has no driver. Warning: Wire TB.\rq_b [1] is used but has no driver. Warning: Wire TB.\rq_b [0] is used but has no driver. Test: t_sp_new_old_be -> ok Passed various-const_arg_loop.ys xprop_eqx_5s3_2: ok xprop_eqx_5s3_2: ok xprop_nex_5u3_2: ok xprop_nex_5u3_2: ok Passed gatemate-tribuf.ys Test: t_sp_nc_nc_be -> ok Test: t_sp_old_old_be -> ok Test: macros -> ok Passed gatemate-mul.ys Test: dynslice -> ok KTest: t_sp_new_nc_be -> ok xprop_nex_5s3_2: ok xprop_nex_5s3_2: ok Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [35] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [34] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [33] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [32] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [31] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [30] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [29] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [28] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [27] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [26] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [25] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [24] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [23] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [22] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [21] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [20] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [19] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [18] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [17] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [16] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [15] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [14] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [13] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [12] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [11] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [10] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [9] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [8] is used but has no driver. Warning: Wire TB.$auto$wreduce.cc:514:run$18023 [7] is used but has no driver. Test: mem2reg_bounds_tern -> ok Passed ice40-logic.ys Passed opt-opt_merge_init.ys Passed opt-opt_merge_basic.ys Passed opt-opt_mem_priority.ys Passed gatemate-adffs.ys Passed various-celledges_shift.ys Passed opt-opt_merge_keep.ys Test: t_sp_old_nc_be -> ok xprop_ge_5u3_2: ok xprop_ge_5u3_2: ok Test: t_sp_nc_auto -> ok xprop_gt_5u3_2: ok xprop_gt_5u3_2: ok Passed opt-opt_reduce_andor.ys xprop_ge_5s3_2: ok xprop_ge_5s3_2: ok Test: t_sp_new_auto -> ok Test: t_sp_old_auto -> ok Passed techmap-bug2183.ys Passed various-const_func.ys Passed opt-opt_reduce_bmux.ys Passed techmap-bug2321.ys xprop_reduce_and_3u_3: ok xprop_reduce_and_3u_3: ok Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver. Passed techmap-bug2332.ys Passed various-const_func_block_var.ys Passed xilinx-add_sub.ys Passed opt-opt_pow.ys Passed opt-opt_rmdff_sat.ys Passed opt-opt_reduce_demux.ys [31]Warning: Drivers conflicting with a constant 1'1 driver: module input A[0] Passed qlf_k6n10f-mux.ys Warning: Drivers conflicting with a constant 1'1 driver: port Y[0] of cell some_buffer (buffer) Warning: reg '\Q' is assigned in a continuous assignment at < ok xprop_gt_5s3_2: ok xprop_gt_5s3_2: ok [33]xprop_reduce_and_3s_3: ok xprop_reduce_and_3s_3: ok [34]Passed various-constmsk_test.ys countbits.sv:3: Warning: Yosys has only limited support for tri-state logic at the moment. xprop_reduce_or_3u_3: ok xprop_reduce_or_3u_3: ok [35]xprop_reduce_or_3s_3: ok xprop_reduce_or_3s_3: ok Passed various-countbits.ys Test: t_sp_old_auto_be -> ok Test: t_sp_new_auto_be -> ok Passed various-cutpoint_blackbox.ys Passed various-cutpoint_whole.ys xprop_reduce_xor_3u_3: ok xprop_reduce_xor_3u_3: ok Passed various-deminout_unused.ys Test: mem2reg -> ok Passed opt-opt_share_add_sub.ys Passed sat-share.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/sat' ...passed tests in tests/sat Passed various-design.ys Passed verilog-genblk_case.ys < ok [36]ERROR: No saved design 'foo' found! Expected error pattern 'No saved design 'foo' found!' found !!! Passed various-design2.ys Test: t_sp_init_x_x_re -> ok Passed ice40-mul.ys [37]Warning: wire '\read_data' is assigned in a block at spram.v:19.3-19.25. xprop_reduce_xor_3s_3: ok xprop_reduce_xor_3s_3: ok [38]xprop_reduce_xnor_3u_3: ok xprop_reduce_xnor_3u_3: ok [39]Passed opt-opt_rmdff.ys Passed ice40-rom.ys Passed opt-opt_share_bug2334.ys Passed ice40-shifter.ys Passed opt-opt_share_bug2335.ys Passed opt-opt_share_bug2336.ys Passed opt-opt_share_bug2538.ys < ok Passed techmap-bug2972.ys xprop_reduce_xnor_3s_3: ok xprop_reduce_bool_1u_1: ok xprop_reduce_xnor_3s_3: ok xprop_reduce_bool_1u_1: ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed opt-opt_share_cat_multiuser.ys Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:13.12-13.21. Passed verilog-genvar_loop_decl_2.ys Warning: reg '\y' is assigned in a continuous assignment at genvar_loop_decl_3.sv:27.12-27.21. Passed techmap-clockgate.ys < ok Warning: Wire top.\t is used but has no driver. Warning: Wire top.\in is used but has no driver. Passed opt-opt_share_large_pmux_cat_multipart.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed nexus-blockram.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_logic_not_3s_3: ok xprop_logic_not_3s_3: ok Passed opt-opt_share_mux_tree.ys Passed opt-opt_share_large_pmux_part.ys Warning: Resizing cell port top.inst2.a from 32 bits to 4 bits. Warning: Resizing cell port top.inst1.a from 32 bits to 4 bits. Warning: No SAT model available for cell $auto$rename.cc:501:execute$49_gold (bb). Warning: No SAT model available for cell $auto$rename.cc:501:execute$50_gold (bb). Warning: No SAT model available for cell $auto$rename.cc:501:execute$51_gold (bb). Warning: Resizing cell port top.inst.i from 32 bits to 4 bits. Passed various-equiv_assume.ys [38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed opt-opt_hier.tcl make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/opt' ...passed tests in tests/opt [42]Test: t_sp_init_0_x_re -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed nexus-dffs.ys Test: mem_arst -> ok KTest: module_scope -> ok Test: named_genblk -> ok KTest: t_sp_init_0_0 -> ok Test: nested_genblk_resolve -> ok Passed ice40-latches.ys Warning: wire '\Q' is assigned in a block at < ok Passed ecp5-tribuf.ys xprop_logic_not_3s_1: ok xprop_logic_not_3s_1: ok Passed techmap-cmp2lcu.ys KTest: omsp_dbg_uart -> ok [44]Test: t_sp_init_0_0_re -> ok KPassed techmap-dffinit.ys [45]Test: param_attr -> ok [46]ERROR: Unterminated preprocessor conditional! Expected error pattern 'Unterminated preprocessor conditional!' found !!! Passed verilog-ifdef_unterminated.ys Passed machxo2-lutram.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/machxo2' ...passed tests in tests/arch/machxo2 Passed verilog-incdec.ys KTest: t_sp_init_0_any -> ok Passed nexus-lutram.ys KPassed ice40-tribuf.ys Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 KTest: multiplier -> ok Warning: Shift register inference not yet supported for family xc3se. Test: t_sp_init_0_any_re -> ok xprop_logic_and_1u1_1: ok xprop_logic_and_1u1_1: ok Passed techmap-booth.ys Test: t_sp_init_v_x -> ok Warning: Complex async reset for dff `\Q'. Passed techmap-dfflegalize_adff.ys Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff3 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0 K[39]Passed xilinx-bug1598.ys Passed gatemate-memory.ys Test: t_sp_init_v_x_re -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_logic_and_3u3_3: ok xprop_logic_and_3u3_3: ok Passed ice40-spram.ys Test: memory -> ok Passed verilog-include_self.ys Passed techmap-dfflegalize_adlatch.ys Passed various-equiv_make_make_assert.ys Passed various-equiv_opt_undef.ys Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff3 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff3 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff0 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff2 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff1 Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff0 ERROR: Command stdout did have a line matching given regex "giraffe". Expected error pattern 'stdout did have a line' found !!! Test: t_sp_init_v_0 -> ok Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. Passed various-exec.ys /home/buildozer/aports/testing/yosys/src/share/simcells.v:476: Warning: Yosys has only limited support for tri-state logic at the moment. Passed techmap-dfflegalize_adff_init.ys Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff0 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff0 Passed various-equiv_opt_multiclock.ys Passed xilinx-opt_lut_ins.ys Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff0 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff2 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff1 Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff0 Passed techmap-dfflegalize_adlatch_init.ys Test: paramods -> ok Passed techmap-dfflegalize_aldff.ys Passed ice40-macc.ys < ok Passed verilog-macro_arg_tromp.ys Passed various-func_port_implied_dir.ys Passed various-fib_tern.ys ERROR: Expected to find '(' to begin macro arguments for 'MACRO', but instead found ';' Expected error pattern 'Expected to find '\(' to begin macro arguments for 'MACRO', but instead found ';'' found !!! Passed verilog-macro_unapplied.ys ERROR: Expected to find '(' to begin macro arguments for 'foo', but instead found '\x0a' Expected error pattern 'Expected to find '\(' to begin macro arguments for 'foo', but instead found '\\x0a'' found !!! Passed verilog-macro_unapplied_newline.ys [47]Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::shr: Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::sshr: Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::add: Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::sub: Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::ctlz: Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::udivmod (div): Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42Passed verilog-int_types.ys [48]Passed techmap-dfflegalize_aldff_init.ys Passed various-formalff_declockgate.ys Passed verilog-mem_bounds.ys [49]Test: t_sp_init_v_any -> ok Passed various-gen_if_null.ys xprop_logic_and_3s3_3: ok xprop_logic_and_3s3_3: ok K[40]Passed nexus-tribuf.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: module_scope_case -> ok [50]Test: t_sp_arst_x_x -> ok [51]Test: t_sp_init_v_any_re -> ok Passed various-global_scope.ys Passed techmap-dfflegalize_dff.ys < ok Passed techmap-dfflegalize_dlatch.ys [52]Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff0 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0 Test: t_sp_arst_x_x_re -> ok Passed techmap-dfflegalize_dffsr.ys [53]Passed techmap-dfflegalize_dlatch_const.ys [54][41]Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff3 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff2 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff1 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr.ff0 Passed techmap-dfflegalize_dlatchsr.ys [55]Passed nanoxplore-fsm.ys [56]Passed techmap-dfflegalize_dlatch_init.ys [57]Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff2 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff2 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr1_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dlatchsr0_.ff0 Test: t_sp_arst_0_x -> ok Warning: Drivers conflicting with a constant 1'0 driver: module input PORT_A1_WR_BE_i[1] module input PORT_A1_WR_DATA_i[17] module input PORT_B1_WR_BE_i[1] module input PORT_B1_WR_DATA_i[17] Passed techmap-dfflegalize_mince.ys [42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_logic_and_3s3_1: ok xprop_logic_and_3s3_1: ok Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr1_.ff0 [$_DLATCHSR_PPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dlatchsr0_.ff0 [$_DLATCHSR_PPP_]. KWarning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff3 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff2 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff1 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr1.ff0 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff3 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff2 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff1 Warning: Emulating async set + reset with several FFs and a mux for dlatchsr0.ff0 Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr1.ff0 [$_DLATCHSR_PPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff3 [$_DLATCHSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff2 [$_DLATCHSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff1 [$_DLATCHSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dlatchsr0.ff0 [$_DLATCHSR_PPP_]. Passed techmap-dfflegalize_dlatchsr_init.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_arst_0_x_re -> ok Test: process -> ok Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff1 [$_DFFSRE_PPPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff2 [$_DFFSRE_PPNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff3 [$_DFFSRE_PNPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff4 [$_DFFSRE_NPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff1 [$_DFFSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff2 [$_DFFSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff3 [$_DFFSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre1_.ff0 [$_DFFSRE_PPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr1_.ff0 [$_DFFSR_PPP_]. Passed techmap-dfflegalize_inv.ys Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff1 [$_DFFSRE_PPPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff2 [$_DFFSRE_PPNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff3 [$_DFFSRE_PNPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff4 [$_DFFSRE_NPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff1 [$_DFFSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff2 [$_DFFSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff3 [$_DFFSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsre0_.ff0 [$_DFFSRE_PPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.dffsr0_.ff0 [$_DFFSR_PPP_]. Passed xilinx-bug1605.ys Test: t_sp_arst_0_0 -> ok Passed xilinx-xilinx_dsp.ys Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff4 Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsre1.ff0 Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff4 Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsre0.ff0 Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsr1.ff0 Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsr0.ff0 Passed ice40-mux.ys Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff4 [$_DFFSRE_NPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff3 [$_DFFSRE_PNPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff2 [$_DFFSRE_PPNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff1 [$_DFFSRE_PPPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre1.ff0 [$_DFFSRE_PPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff3 [$_DFFSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff2 [$_DFFSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff1 [$_DFFSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr1.ff0 [$_DFFSR_PPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff4 [$_DFFSRE_NPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff3 [$_DFFSRE_PNPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff2 [$_DFFSRE_PPNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff1 [$_DFFSRE_PPPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsre0.ff0 [$_DFFSRE_PPPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff3 [$_DFFSR_NPP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff2 [$_DFFSR_PNP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff1 [$_DFFSR_PPN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize dffsr0.ff0 [$_DFFSR_PPP_]. Passed techmap-dfflegalize_dffsr_init.ys Test: realexpr -> ok Passed techmap-dfflegalize_minsrst.ys Test: t_sp_arst_0_0_re -> ok Passed techmap-dfflegalize_sr.ys Test: case_large -> ok Passed various-gzip_verilog.ys Test: module_scope_func -> ok xprop_logic_or_1u1_1: ok xprop_logic_or_1u1_1: ok Test: named_genblk -> ok Passed gatemate-luttrees.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/gatemate' ...passed tests in tests/arch/gatemate Test: t_sp_arst_0_any -> ok Test: nested_genblk_resolve -> ok Passed xilinx-xilinx_srl.ys Test: repwhile -> ok [58]Passed microchip-reduce.ys Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr1_.ff0 [$_SR_PP_]. Test: muxtree -> ok Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_]. Test: t_sp_arst_0_any_re -> ok Test: retime -> ok Test: t_sp_arst_0_init -> ok [59]Passed xilinx-counter.ys K< ok Passed techmap-dfflegalize_sr_init.ys Passed various-help.ys Test: operators -> ok Passed various-hierarchy_defer.ys Passed various-hierarchy_generate.ys Passed techmap-dfflegalize_dff_init.ys Passed various-hierarchy_param.ys Passed verilog-package_import_separate.ys Warning: Complex async reset for dff `\Q'. Passed nanoxplore-shifter.ys Passed techmap-dfflibmap.ys Test: t_sp_arst_v_x -> ok [60]Test: t_sp_arst_v_x_re -> ok Test: omsp_dbg_uart -> ok Warning: wire '\Q' is assigned in a block at < ok [61]Test: t_sp_arst_v_0 -> ok xprop_logic_or_3u3_3: ok xprop_logic_or_3u3_3: ok xprop_logic_or_3s3_3: ok xprop_logic_or_3s3_3: ok Passed verilog-package_task_func.ys [62]Passed verilog-param_default.ys [63]Passed techmap-dffunmap.ys Test: param_attr -> ok [64]Passed verilog-param_int_types.ys [65]Passed nanoxplore-tribuf.ys [66]Passed techmap-extractinv.ys Test: multiplier -> ok [67]< ok [68]Passed verilog-param_no_default_not_svmode.ys [69]< ok [43]K< ok Test: scopes -> ok K[44]Test: t_sp_arst_v_init_re -> ok Test: specify -> ok Passed xilinx-dsp_fastfir.ys Passed verilog-past_signedness.ys [70]Passed verilog-port_int_types.ys [45]Passed microchip-uram_ar.ys [46][47]Test: t_sp_arst_e_x -> ok xprop_logic_or_3s3_1: ok xprop_logic_or_3s3_1: ok [48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: signedexpr -> ok Passed techmap-shiftx2mux.ys Passed microchip-uram_sr.ys Test: sign_part_assign -> ok Passed techmap-techmap_replace.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Passed techmap-techmap_chtype.ys Passed techmap-wireinit.ys < ok Passed various-json_scopeinfo.ys KTest: t_sp_arst_e_x_re -> ok Passed verilog-prefix.ys Warning: Module top contains RTLIL processes with sync rules. Such RTLIL processes can't always be mapped directly to Verilog always blocks. unintended changes in simulation behavior are possible! Use "proc" to convert processes to logic networks and registers. Passed techmap-zinit.ys Passed verilog-roundtrip_proc.ys xprop_shl_4u3u_3: ok xprop_shl_4u3u_3: ok xprop_shl_4s3u_3: ok xprop_shl_4s3u_3: ok Passed verilog-sbvector.ys [49]Test: paramods -> ok Passed verilog-priority_if_enc.ys Test: t_sp_arst_e_0 -> ok Passed verilog-sign_array_query.ys KPassed various-keep_hierarchy.ys Test: t_sp_arst_e_0_re -> ok Passed xilinx-logic.ys Passed various-ice40_mince_abc9.ys Passed techmap-han-carlson.tcl Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Passed various-lcov.ys ERROR: Identifier `\b' is implicitly declared. Expected error pattern 'is implicitly declared.' found !!! Passed various-logger_error.ys Passed techmap-recursive_runtest.sh [71]Test: process -> ok Passed various-logger_nowarning.ys [72]Warning: Shift register inference not yet supported for family xc3se. KTest: string_format -> ok Test: t_sp_arst_e_any_re -> ok Test: t_sp_arst_e_any -> ok Warning: Found log message matching -W regex: Added regex 'Successfully finished Verilog frontend.' to expected warning messages list. < ok KTest: undef_eqx_nex -> ok Test: t_sp_arst_e_init_re -> ok Warning: Resizing cell port pre_post_adder.$mul$< ok Test: t_sp_arst_n_x -> ok Test: t_sp_arst_n_0 -> ok Test: t_sp_arst_n_0_re -> ok Test: t_sp_arst_n_any -> ok xprop_sshl_4u3u_3: ok xprop_shr_4s3u_3: ok xprop_sshl_4u3u_3: ok xprop_shr_4s3u_3: ok Passed microchip-mult.ys xprop_shr_4u3u_3: ok xprop_shr_4u3u_3: ok K< ok Test: t_sp_arst_n_init -> ok Test: usb_phy_tests -> ok Test: sincos -> ok Test: t_sp_arst_n_init_re -> ok < ok Passed various-logic_param_simple.ys Passed verilog-typedef_legacy_conflict.ys Warning: Resizing cell port top.pt.inp from 32 bits to 64 bits. Passed microchip-simple_ram.ys Warning: Resizing cell port gate.pt4.out from 64 bits to 40 bits. Warning: Resizing cell port gate.pt3.out from 64 bits to 40 bits. Warning: Resizing cell port gate.pt2.out from 64 bits to 40 bits. Warning: Resizing cell port gate.pt1.out from 64 bits to 40 bits. Warning: Resizing cell port gold.pt4.out from 64 bits to 40 bits. Warning: Resizing cell port gold.pt3.out from 64 bits to 40 bits. Warning: Resizing cell port gold.pt2.out from 64 bits to 40 bits. Warning: Resizing cell port gold.pt1.out from 64 bits to 40 bits. Passed verilog-unbased_unsized.ys [73]Passed verilog-struct_access.ys [74]Passed verilog-unbased_unsized_shift.ys [75]Test: subbytes -> ok Passed verilog-unique_if.ys Passed various-mem2reg.ys Test: verilog_primitives -> ok /home/buildozer/aports/testing/yosys/src/share/simcells.v:476: Warning: Yosys has only limited support for tri-state logic at the moment. Passed various-param_struct.ys Passed various-memory_word_as_index.ys Test: t_sp_srst_x_x_re -> ok Passed verilog-unique0_if_enc.ys Passed various-peepopt_formal.ys Passed xilinx-xilinx_dffopt.ys Passed verilog-unbased_unsized_tern.ys Test: scopes -> ok < ok Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits. Warning: Resizing cell port act.os2.out from 3 bits to 2 bits. Warning: Resizing cell port act.ou1.out from 3 bits to 1 bits. Warning: Resizing cell port act.os1.out from 3 bits to 1 bits. Warning: Resizing cell port act.pt9.a from 3 bits to 4 bits. Warning: Resizing cell port act.pt7.a from 3 bits to 4 bits. Warning: Resizing cell port act.pt6.a from 3 bits to 4 bits. Warning: Resizing cell port act.pt5.a from 2 bits to 4 bits. Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits. Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits. Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits. Passed verilog-unique_priority_case.ys Passed various-primitives.ys Test: t_sp_srst_0_x -> ok Passed various-printattr.ys Passed various-pmux2shiftx.ys Test: t_sp_srst_0_x_re -> ok Passed various-port_sign_extend.ys Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18. Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35.8-35.22. Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62.3-62.16. Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69.3-69.17. Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 Warning: Replacing memory \ml1 with list of registers. See reg_wire_error.sv:58 Passed various-reg_wire_error.ys ERROR: Found `else outside of macro conditional branch! Expected error pattern 'Found `else outside of macro conditional branch!' found !!! Passed various-rand_const.ys Passed verilog-unmatched_else.ys Passed verilog-unique_priority_if.ys Warning: Wire top.\_e is used but has no driver. Passed various-muxpack.ys Passed various-rename_unescape.ys Passed various-rename_scramble_name.ys ERROR: Found `elsif outside of macro conditional branch! Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!! Passed various-rename_wire_move_to_cell.ys Passed verilog-unmatched_elsif.ys Test: sign_part_assign -> ok ERROR: Found `endif outside of macro conditional branch! Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! ERROR: Found `endif outside of macro conditional branch! Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! Passed verilog-unmatched_endif.ys Passed verilog-unmatched_endif_2.ys Passed verilog-unnamed_genblk.ys < ok specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MIN = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_TYP = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_RISE_MAX = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MIN = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_TYP = 1.500000 with string. specify.out:49: Warning: Replacing floating point parameter $specify$24.T_FALL_MAX = 1.500000 with string. xprop_sshr_4s3u_3: ok xprop_sshr_4s3u_3: ok Warning: wire '\o' is assigned in a block at < ok Test: t_sp_srst_0_any -> ok Passed various-stat_high_level2.ys Test: signed_full_slice -> ok Passed various-struct_access.ys Passed various-submod_extract.ys Passed various-sv_defines.ys [77]ERROR: Duplicate macro arguments with name `x'. Expected error pattern 'Duplicate macro arguments with name `x'' found !!! ERROR: Mismatched brackets in macro argument: [ and }. Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!! Passed various-sv_defines_dup.ys Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports. Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports. Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports. Passed various-sv_defines_mismatch.ys Passed various-submod.ys ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default). Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!! Passed various-sv_defines_too_few.ys Passed xilinx-shifter.ys Passed various-tcl_apis.ys Test: wandwor -> ok [78]Passed various-wrapcell.ys Passed various-wreduce.ys Passed various-wreduce2.ys Test: t_sp_srst_0_any_re -> ok Test: task_func -> ok Test: t_sp_srst_0_init -> ok Test: t_sp_srst_0_init_re -> ok Test: signedexpr -> ok [79]Passed various-write_gzip.ys K make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/fsm' ...passed tests in tests/fsm [80]Test: t_sp_srst_v_x -> ok Test: t_sp_srst_v_0 -> ok Passed nanoxplore-dffs.ys Test: t_sp_srst_v_x_re -> ok Passed various-xaiger.ys Test: t_sp_srst_v_0_re -> ok [81]Test: string_format -> ok [82]Passed various-chparam.sh Test: undef_eqx_nex -> ok Test: wreduce -> ok [83]xprop_shift_4u3u_3: ok xprop_shift_4u3u_3: ok Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. [84]Test: t_sp_srst_v_any -> ok [85]Test: t_sp_srst_v_any_re -> ok xprop_shift_4s3u_3: ok xprop_shift_4s3u_3: ok [86]Passed various-muxcover.ys [87]Test: verilog_primitives -> ok [88]Test: usb_phy_tests -> ok Test: t_sp_srst_v_any_ce -> ok Test: t_sp_srst_v_any_re_gated -> ok Test: t_sp_srst_v_any_ce_gated -> ok Test: subbytes -> ok [89]Passed various-logger_cmd_error.sh Test: t_sp_srst_v_init -> ok Test: t_sp_srst_v_init_re -> ok Test: t_sp_srst_e_x -> ok Passed xilinx-tribuf.ys [90]Passed various-hierarchy.sh [91]Passed various-clk2fflogic_effects.sh [92][93]Passed various-logger_fail.sh [94][95]Test: t_sp_srst_e_x_re -> ok [96][97]Test: t_sp_srst_e_0 -> ok [98][99]Passed various-async.sh Test: t_sp_srst_e_0_re -> ok Passed various-svalways.sh make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/opt_share' ...passed tests in tests/opt_share Test: rotate -> ok Test: t_sp_srst_e_any -> ok Test: values -> ok xprop_shift_4u2s_8: ok xprop_shift_4u2s_8: ok Test: vloghammer -> ok Passed various-sv_implicit_ports.sh xprop_shift_4s2s_8: ok xprop_shift_4s2s_8: ok Test: t_sp_srst_e_any_re -> ok Test: t_sp_srst_e_init -> ok Test: t_sp_srst_e_init_re -> ok Test: t_sp_srst_n_x -> ok Test: t_sp_srst_n_x_re -> ok Test: arrays02 -> ok Test: t_sp_srst_n_0 -> ok Test: t_sp_srst_n_0_re -> ok Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. Test: wandwor -> ok Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. Passed nexus-adffs.ys Test: t_sp_srst_n_any -> ok Test: vloghammer -> ok Test: case_expr_extend -> ok Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Test: case_expr_query -> ok Test: t_sp_srst_n_any_re -> ok Test: task_func -> ok Test: t_sp_srst_n_init_re -> ok Test: t_sp_srst_n_init -> ok Test: t_sp_srst_gv_x -> ok xprop_shift_4u3s_3: ok xprop_shift_4u3s_3: ok Test: t_sp_srst_gv_x_re -> ok /home/buildozer/aports/testing/yosys/src/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. xprop_shift_4s3s_3: ok xprop_shift_4s3s_3: ok Test: t_sp_srst_gv_0 -> ok xprop_mux_1: ok xprop_mux_1: ok Test: t_sp_srst_gv_0_re -> ok xprop_shiftx_4u2s_8: ok xprop_shiftx_4u2s_8: ok Test: local_loop_var -> ok xprop_bmux_1_2: ok xprop_bmux_1_2: ok xprop_mux_3: ok xprop_mux_3: ok Test: lesser_size_cast -> ok xprop_shiftx_4u3s_3: ok xprop_shiftx_4u3s_3: ok Test: implicit_ports -> ok Test: defvalue -> ok Test: arrays03 -> ok Passed verilog-func_upto.ys Test: t_sp_srst_gv_any -> ok xprop_bmux_3_1: ok xprop_bmux_3_1: ok Test: matching_end_labels -> ok Test: t_sp_srst_gv_any_re -> ok xprop_bmux_2_2: ok xprop_bmux_2_2: ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok Passed xilinx-fsm.ys xprop_demux_1_2: ok xprop_demux_1_2: ok Passed various-plugin.sh Test: t_sp_srst_gv_any_re_gated -> ok xprop_pmux_1_4: ok xprop_pmux_1_4: ok xprop_demux_3_1: ok xprop_demux_3_1: ok Test: t_sp_srst_gv_any_ce -> ok xprop_pmux_2_2: ok xprop_pmux_2_2: ok xprop_bweqx_1: ok xprop_bweqx_1: ok xprop_bwmux_1: ok xprop_bwmux_1: ok xprop_pmux_3_1: ok xprop_pmux_3_1: ok Test: t_sp_srst_gv_any_ce_gated -> ok xprop_demux_2_2: ok xprop_demux_2_2: ok Test: t_sp_srst_gv_init -> ok Passed microchip-widemux.ys xprop_ff_1: ok xprop_ff_1: ok xprop_bwmux_3: ok xprop_bwmux_3: ok xprop_bweqx_3: ok xprop_bweqx_3: ok Test: t_wren_a4d4_NO_BYTE -> ok xprop_ff_3: ok xprop_ff_3: ok Test: t_sp_srst_gv_init_re -> ok Test: t_wren_a5d4_NO_BYTE -> ok Test: t_wren_a3d8_NO_BYTE -> ok Test: t_wren_a6d4_NO_BYTE -> ok Test: t_wren_a4d8_NO_BYTE -> ok Test: t_wren_a4d4_W4_B4 -> ok Test: wreduce -> ok Test: t_wren_a4d8_W8_B4 -> ok xprop_dff_1pd: ok xprop_dff_1pd: ok Test: t_wren_a4d8_W4_B4_separate -> ok xprop_dff_1nd: ok xprop_dff_1nd: ok Test: t_wren_a4d8_W8_B4_separate -> ok Test: t_wren_a4d8_W8_B8 -> ok Test: partsel -> ok Test: t_wren_a4d8_W8_B8_separate -> ok xprop_pmux_4_4: ok xprop_pmux_4_4: ok Test: t_wren_a4d4w4_W16_B4 -> ok Test: t_wren_a4d4w4_W16_B4_separate -> ok Test: t_wren_a5d4w2_W16_B4 -> ok Test: t_wren_a4d2w8_W16_B4_separate -> ok Test: macro_arg_spaces -> ok Test: t_wren_a4d2w8_W16_B4 -> ok Test: t_wren_a5d4w2_W16_B4_separate -> ok xprop_dff_3nd: ok xprop_dff_3nd: ok Test: t_wren_a4d8w2_W16_B4 -> ok Test: t_wren_a4d8w2_W16_B4_separate -> ok xprop_dff_3pd: ok xprop_dff_3pd: ok Test: t_wren_a5d8w1_W16_B4_separate -> ok Test: t_wren_a5d8w1_W16_B4 -> ok xprop_dffe_1pnd: ok xprop_dffe_1pnd: ok Test: t_wren_a5d4w4_W16_B4 -> ok Test: t_wren_a5d4w4_W16_B4_separate -> ok Test: asgn_binop -> ok Test: sincos -> ok Test: t_wren_a4d16w1_W16_B4 -> ok Test: t_wren_a5d8w2_W16_B4 -> ok xprop_dffe_1nnd: ok xprop_dffe_1nnd: ok Test: t_wren_a5d8w2_W16_B4_separate -> ok Passed nexus-mul.ys Test: t_wren_a4d4w2_W8_B8 -> ok Test: t_wren_a4d4w1_W8_B8 -> ok Test: t_wren_a4d16w1_W16_B4_separate -> ok Test: t_wren_a4d4w2_W8_B8_separate -> ok Test: t_wren_a4d4w1_W8_B8_separate -> ok Passed xilinx-mul.ys Test: t_wren_a4d4w2_W8_B4 -> ok Test: t_wren_a4d8w2_W8_B8_separate -> ok Test: t_wren_a4d4w2_W8_B4_separate -> ok Test: t_wren_a4d8w2_W8_B8 -> ok Test: t_wren_a3d8w2_W8_B8 -> ok Test: t_wren_a3d8w2_W8_B8_separate -> ok Test: t_wren_a4d2w4_W8_B4 -> ok Test: t_wren_a4d2w4_W8_B4_separate -> ok /home/buildozer/aports/testing/yosys/src/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Test: operators -> ok Test: t_wren_a4d4w4_W4_B4 -> ok xprop_dffe_1ppd: ok xprop_dffe_1ppd: ok Test: t_wren_a4d4w4_W8_B4_separate -> ok xprop_dffe_1npd: ok xprop_dffe_1npd: ok Test: t_wren_a4d4w4_W8_B4 -> ok Test: t_wren_a4d4w4_W4_B4_separate -> ok Test: t_geom_a4d64_wren -> ok Test: t_geom_a5d32_wren -> ok Test: t_geom_a5d64_wren -> ok Test: t_geom_a6d16_wren -> ok Test: t_wren_a4d4w5_W4_B4_separate -> ok Test: t_wren_a4d4w5_W4_B4 -> ok Test: t_geom_a6d30_wren -> ok xprop_dffe_3pnd: ok xprop_dffe_3pnd: ok Test: t_geom_a7d6_wren -> ok Test: t_geom_a7d8_wren -> ok Test: t_geom_a6d64_wren -> ok Test: t_geom_a7d4_wren -> ok xprop_dffe_3nnd: ok xprop_dffe_3nnd: ok Test: t_geom_a3d18_9b1B -> ok Test: t_geom_a4d4_9b1B -> ok Test: t_geom_a7d17_wren -> ok Test: t_geom_a4d18_9b1B -> ok Test: t_geom_a8d4_wren -> ok Test: t_geom_a6d4_9b1B -> ok Test: t_geom_a8d6_wren -> ok Test: t_geom_a7d11_9b1B -> ok xprop_dffe_3ppd: ok xprop_dffe_3ppd: ok Test: t_geom_a5d32_9b1B -> ok Test: t_wide_sdp_a6r1w1b1x1 -> ok xprop_dffe_3npd: ok xprop_dffe_3npd: ok done make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/xprop' ...passed tests in tests/xprop Test: t_wide_sdp_a7r1w1b1x1 -> ok Test: t_wide_sdp_a6r0w0b0x0 -> ok Test: t_wide_sdp_a6r1w0b0x0 -> ok Test: t_geom_a9d8_wren -> ok Test: t_geom_a7d18_9b1B -> ok Test: t_geom_a9d5_wren -> ok Test: t_wide_sdp_a8r1w1b1x1 -> ok Test: t_geom_a9d4_wren -> ok Test: t_geom_a9d6_wren -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r4w0b0x0 -> ok Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r0w1b1x0 -> ok Test: t_geom_a11d1_9b1B -> ok Test: t_wide_sdp_a6r0w2b0x0 -> ok Test: t_wide_sdp_a6r5w0b0x0 -> ok Test: t_wide_sdp_a6r0w2b2x0 -> ok Warning: Resizing cell port mac.$mul$< ok Test: t_wide_sdp_a7r0w0b0x0 -> ok Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok Test: t_wide_sdp_a7r3w0b0x0 -> ok Test: t_wide_sdp_a7r0w1b1x0 -> ok Test: t_wide_sdp_a7r0w1b0x0 -> ok Test: t_wide_sdp_a7r0w2b0x0 -> ok Test: t_wide_sdp_a7r4w0b0x0 -> ok Passed various-pmgen_reduce.ys Test: t_wide_sdp_a7r0w2b2x0 -> ok Test: t_wide_sdp_a7r5w0b0x0 -> ok Test: t_wide_sdp_a7r0w3b2x0 -> ok Test: t_wide_sdp_a6r0w5b2x0 -> ok Test: t_wide_sp_mix_a8r1w1b1 -> ok Passed xilinx-pmgen_xilinx_srl.ys Test: t_wide_sdp_a7r0w4b2x0 -> ok Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sp_mix_a6r1w0b0 -> ok Test: t_wide_sp_mix_a6r0w0b0 -> ok Test: rotate -> ok make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/simple' ...passed tests in tests/simple Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sp_mix_a6r3w0b0 -> ok Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sp_mix_a6r4w0b0 -> ok Test: t_wide_sp_mix_a6r0w1b0 -> ok Test: t_wide_sp_mix_a6r0w1b1 -> ok Test: t_wide_sp_mix_a6r0w2b2 -> ok Test: t_wide_sdp_a7r0w5b2x0 -> ok Test: t_wide_sp_mix_a6r0w2b0 -> ok Test: t_wide_sp_mix_a6r0w3b2 -> ok Test: t_wide_sp_mix_a6r5w0b0 -> ok Test: t_wide_sp_mix_a7r1w0b0 -> ok Test: t_wide_sp_mix_a7r0w0b0 -> ok Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a6r0w4b2 -> ok Test: t_wide_sp_mix_a7r3w0b0 -> ok Test: t_wide_sp_mix_a7r0w1b1 -> ok Test: t_wide_sp_mix_a7r4w0b0 -> ok Test: t_wide_sp_mix_a7r0w1b0 -> ok Test: t_wide_sp_mix_a7r0w2b2 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok Test: t_wide_sp_mix_a7r0w2b0 -> ok Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w5b2 -> ok Test: t_wide_sp_mix_a7r5w0b0 -> ok Test: t_wide_sp_mix_a7r0w4b2 -> ok Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r3w0b0 -> ok Test: t_wide_sp_tied_a6r0w2b2 -> ok Test: t_wide_sp_mix_a7r0w5b2 -> ok /home/buildozer/aports/testing/yosys/src/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /home/buildozer/aports/testing/yosys/src/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Test: t_wide_sp_tied_a7r0w0b0 -> ok Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a7r0w1b0 -> ok Test: t_wide_sp_tied_a7r3w0b0 -> ok Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_read_a8r1w1b1 -> ok Test: t_wide_read_a6r1w1b1 -> ok Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok Test: t_wide_sp_tied_a7r0w3b2 -> ok Test: t_wide_write_a6r1w1b1 -> ok Test: t_wide_read_a6r0w0b0 -> ok Test: t_wide_read_a7r1w1b1 -> ok Test: t_wide_sp_tied_a7r4w0b0 -> ok Test: t_wide_write_a8r1w1b1 -> ok Test: t_wide_sp_tied_a7r0w4b2 -> ok Test: t_wide_read_a6r1w0b0 -> ok Test: t_wide_write_a7r1w1b1 -> ok Test: t_wide_write_a6r0w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok Test: t_wide_read_a6r2w0b0 -> ok Test: t_wide_sp_tied_a7r5w0b0 -> ok Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r3w0b0 -> ok Passed xilinx-adffs.ys Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_read_a6r0w1b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_write_a6r3w0b0 -> ok Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok Test: t_wide_read_a6r5w0b0 -> ok Test: t_wide_read_a6r0w2b2 -> ok Test: t_wide_write_a6r0w2b0 -> ok Test: t_wide_write_a6r0w2b2 -> ok Test: t_wide_write_a6r0w3b2 -> ok Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_write_a6r4w0b0 -> ok Test: t_wide_read_a7r0w0b0 -> ok Test: t_wide_write_a6r0w4b2 -> ok Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_read_a7r2w0b0 -> ok Test: t_wide_read_a6r0w4b2 -> ok Passed xilinx-nosrl.ys Test: t_wide_read_a7r3w0b0 -> ok Test: t_wide_write_a7r0w0b0 -> ok Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_write_a7r1w0b0 -> ok Test: t_wide_write_a7r2w0b0 -> ok Test: t_wide_write_a6r0w5b2 -> ok Test: t_wide_read_a7r0w1b1 -> ok Test: t_wide_read_a7r0w1b0 -> ok Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a6r0w5b2 -> ok Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_read_a7r5w0b0 -> ok Test: t_wide_read_a7r0w2b2 -> ok Test: t_wide_write_a7r0w1b0 -> ok Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_write_a7r0w2b0 -> ok Test: t_wide_write_a7r0w2b2 -> ok Test: t_quad_port_a4d4 -> ok Test: t_quad_port_a5d2 -> ok Test: t_wide_read_a7r0w3b2 -> ok Test: t_quad_port_a4d2 -> ok Test: t_quad_port_a2d2 -> ok Test: t_wide_write_a7r0w3b2 -> ok Test: t_wide_write_a6r5w0b0 -> ok Test: t_wide_write_a7r4w0b0 -> ok Test: t_quad_port_a6d2 -> ok Test: t_quad_port_a4d8 -> ok Test: t_wide_write_a7r0w4b2 -> ok Test: t_wide_quad_a4w2r2 -> ok Test: t_wide_quad_a4w2r1 -> ok Test: t_wide_read_a7r0w4b2 -> ok Test: t_wide_oct_a4w2r2 -> ok Test: t_wide_oct_a4w2r1 -> ok Test: t_wide_quad_a4w2r3 -> ok Test: t_wide_oct_a4w2r3 -> ok Test: t_wide_quad_a4w2r4 -> ok Test: t_wide_quad_a4w2r5 -> ok Test: t_wide_oct_a4w2r4 -> ok Test: t_wide_quad_a4w4r1 -> ok Test: t_wide_quad_a4w2r6 -> ok Test: t_wide_write_a7r0w5b2 -> ok Test: t_wide_quad_a4w2r7 -> ok Test: t_wide_oct_a4w4r1 -> ok Test: t_wide_quad_a4w2r8 -> ok Test: t_wide_oct_a4w2r5 -> ok Test: t_wide_read_a7r0w5b2 -> ok Test: t_wide_oct_a4w2r6 -> ok Test: t_wide_quad_a4w4r4 -> ok Test: t_wide_oct_a4w2r9 -> ok Test: t_wide_quad_a4w2r9 -> ok Test: t_wide_oct_a4w4r4 -> ok Test: t_wide_oct_a4w2r7 -> ok Test: t_wide_quad_a5w2r1 -> ok Test: t_wide_oct_a4w2r8 -> ok Test: t_wide_oct_a5w2r1 -> ok Test: t_wide_write_a7r5w0b0 -> ok Passed nanoxplore-add_sub.ys Test: t_wide_oct_a4w4r6 -> ok Test: t_wide_quad_a4w4r6 -> ok Test: t_wide_quad_a5w2r4 -> ok Test: t_wide_oct_a5w2r4 -> ok Test: t_wide_quad_a4w4r9 -> ok Test: t_wide_oct_a4w4r9 -> ok Test: t_no_reset -> ok Test: t_gclken -> ok Test: t_grden -> ok Test: t_exclwr -> ok Test: t_wide_oct_a5w2r9 -> ok Test: t_ungated -> ok Test: t_wide_quad_a5w2r9 -> ok Test: t_rom_case -> ok Test: t_gclken_ce -> ok Test: t_rom_case_block -> ok Test: t_grden_ce -> ok Test: t_trans_rst -> ok Test: t_wr_byte -> ok Test: t_excl_rst -> ok Test: t_transwr -> ok Test: t_trans_byte -> ok Test: t_wr_rst_byte -> ok Test: t_rst_wr_byte -> ok Passed microchip-ram_SDP.ys Test: t_rdenrst_wr_byte -> ok make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/memlib' ...passed tests in tests/memlib . Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::udivmod (mod): Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::sdivmod (div): Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. Randomized tests for value::sdivmod (mod): Test passed @ Bits = 8. Test passed @ Bits = 32. Test passed @ Bits = 42. Test passed @ Bits = 63. Test passed @ Bits = 64. + ../../yosys -p 'read_verilog test_unconnected_output.v; select =*; proc; clean; write_cxxrtl cxxrtl-test-unconnected_output.cc' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) -- Running command `read_verilog test_unconnected_output.v; select =*; proc; clean; write_cxxrtl cxxrtl-test-unconnected_output.cc' -- 1. Executing Verilog-2005 frontend: test_unconnected_output.v Parsing Verilog input from `test_unconnected_output.v' to AST representation. Generating RTLIL representation for module `\blackbox'. Generating RTLIL representation for module `\unconnected_output'. test_unconnected_output.v:19: Warning: Identifier `\clock' is implicitly declared. Successfully finished Verilog frontend. 2. Executing PROC pass (convert processes to netlists). 2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.4. Executing PROC_INIT pass (extract init attributes). 2.5. Executing PROC_ARST pass (detect async resets in processes). 2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.12. Executing OPT_EXPR pass (perform const folding). Warning: Ignoring boxed module blackbox. Optimizing module unconnected_output. 3. Executing CXXRTL backend. 3.1. Executing HIERARCHY pass (managing design hierarchy). 3.1.1. Finding top of design hierarchy.. Warning: Ignoring boxed module blackbox. root of 1 design levels: unconnected_output Automatically selected unconnected_output as design top module. 3.1.2. Analyzing design hierarchy.. Top module: \unconnected_output 3.1.3. Analyzing design hierarchy.. Top module: \unconnected_output Removed 0 unused modules. Warning: Resizing cell port unconnected_output.bb.out1 from 1 bits to 8 bits. 3.2. Executing FLATTEN pass (flatten design). 3.3. Executing PROC pass (convert processes to netlists). 3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.3.4. Executing PROC_INIT pass (extract init attributes). 3.3.5. Executing PROC_ARST pass (detect async resets in processes). 3.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). Warning: Ignoring boxed module blackbox. Optimizing module unconnected_output. Warnings: 3 unique messages, 5 total End of script. Logfile hash: 5ce3cff38f, CPU: user 0.02s system 0.01s, MEM: 20.95 MB peak Yosys 0.57 (git sha1 3aca86049e79a165932e3e7660358376f45acaed, g++ 15.2.0 -Os -fstack-clash-protection -fPIC -O3) Time spent: 33% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + cc -std=c++11 -c -o cxxrtl-test-unconnected_output -I../../backends/cxxrtl/runtime cxxrtl-test-unconnected_output.cc Passed xilinx-macc.ys Passed microchip-ram_TDP.ys Passed xilinx-mux_lut4.ys Passed xilinx-mul_unsigned.ys In file included from /usr/include/c++/15.2.0/map:64, from ../../backends/cxxrtl/runtime/cxxrtl/cxxrtl.h:37, from cxxrtl-test-unconnected_output.cc:1: /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::__enable_if_t<((bool)std::is_same<_Val, typename std::iterator_traits<_InputIterator>::value_type>::value)> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_range_unique(_InputIterator, _InputIterator) [with _InputIterator = const std::pair, cxxrtl::metadata>*; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:1727:30: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 1727 | _M_insert_unique_(end(), *__first, __an); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_insert_unique_(const_iterator, _Arg&&, _NodeGen&) [with _Arg = const std::pair, cxxrtl::metadata>&; _NodeGen = std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::_Alloc_node; _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2892:7: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2892 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. /usr/include/c++/15.2.0/bits/stl_tree.h: In member function 'std::pair::rebind<_Val>::other>::pointer>::_Base_ptr, typename std::__rb_tree::_Node_traits<_Val, typename __gnu_cxx::__alloc_traits::rebind<_Val>::other>::pointer>::_Base_ptr> std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_get_insert_hint_unique_pos(const_iterator, const key_type&) [with _Key = std::__cxx11::basic_string; _Val = std::pair, cxxrtl::metadata>; _KeyOfValue = std::_Select1st, cxxrtl::metadata> >; _Compare = std::less >; _Alloc = std::allocator, cxxrtl::metadata> >]': /usr/include/c++/15.2.0/bits/stl_tree.h:2832:5: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, cxxrtl::metadata>, std::_Select1st, cxxrtl::metadata> >, std::less >, std::allocator, cxxrtl::metadata> > >::const_iterator' changed in GCC 7.1 2832 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Passed nexus-mux.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/nexus' ...passed tests in tests/arch/nexus Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. ...passed tests in tests/cxxrtl Warning: Selection "asym_ram_sdp_read_wider" did not match any module. Passed xilinx-macc.sh Passed xilinx-tribuf.sh Warning: Ignoring boxed module $paramod\FDRE\INIT=1'0_$abc9_flop. Warning: Resizing cell port cas.$mul$< Y[0] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[0] --> Q[0] wire \dword [0] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[0] --> Y[0] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[1] --> Y[1] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[1] --> Q[1] wire \dword [1] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[1] --> Y[1] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[2] --> Y[2] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[2] --> Q[2] wire \dword [2] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[2] --> Y[2] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[3] --> Y[3] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[3] --> Q[3] wire \dword [3] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[3] --> Y[3] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[4] --> Y[4] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[4] --> Q[4] wire \dword [4] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[4] --> Y[4] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[5] --> Y[5] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[5] --> Q[5] wire \dword [5] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[5] --> Y[5] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[6] --> Y[6] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[6] --> Q[6] wire \dword [6] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[6] --> Y[6] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[7] --> Y[7] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[7] --> Q[7] wire \dword [7] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[7] --> Y[7] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[8] --> Y[8] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[8] --> Q[8] wire \dword [8] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[8] --> Y[8] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[9] --> Y[9] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[9] --> Q[9] wire \dword [9] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[9] --> Y[9] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[10] --> Y[10] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[10] --> Q[10] wire \dword [10] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[10] --> Y[10] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[11] --> Y[11] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[11] --> Q[11] wire \dword [11] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[11] --> Y[11] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[12] --> Y[12] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[12] --> Q[12] wire \dword [12] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[12] --> Y[12] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[13] --> Y[13] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[13] --> Q[13] wire \dword [13] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[13] --> Y[13] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[14] --> Y[14] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[14] --> Q[14] wire \dword [14] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[14] --> Y[14] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[15] --> Y[15] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[15] --> Q[15] wire \dword [15] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[15] --> Y[15] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[16] --> Y[16] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[16] --> Q[16] wire \dword [16] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[16] --> Y[16] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[17] --> Y[17] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[17] --> Q[17] wire \dword [17] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[17] --> Y[17] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[18] --> Y[18] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[18] --> Q[18] wire \dword [18] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[18] --> Y[18] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[19] --> Y[19] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[19] --> Q[19] wire \dword [19] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[19] --> Y[19] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[20] --> Y[20] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[20] --> Q[20] wire \dword [20] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[20] --> Y[20] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[21] --> Y[21] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[21] --> Q[21] wire \dword [21] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[21] --> Y[21] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[22] --> Y[22] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[22] --> Q[22] wire \dword [22] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[22] --> Y[22] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[23] --> Y[23] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[23] --> Q[23] wire \dword [23] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[23] --> Y[23] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[24] --> Y[24] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[24] --> Q[24] wire \dword [24] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[24] --> Y[24] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[25] --> Y[25] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[25] --> Q[25] wire \dword [25] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[25] --> Y[25] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[26] --> Y[26] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[26] --> Q[26] wire \dword [26] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[26] --> Y[26] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[27] --> Y[27] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[27] --> Q[27] wire \dword [27] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[27] --> Y[27] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[28] --> Y[28] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[28] --> Q[28] wire \dword [28] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[28] --> Y[28] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[29] --> Y[29] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[29] --> Q[29] wire \dword [29] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[29] --> Y[29] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[30] --> Y[30] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[30] --> Q[30] wire \dword [30] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[30] --> Y[30] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[31] --> Y[31] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[31] --> Q[31] wire \dword [31] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[31] --> Y[31] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[32] --> Y[32] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[32] --> Q[32] wire \dword [32] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[32] --> Y[32] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[33] --> Y[33] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[33] --> Q[33] wire \dword [33] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[33] --> Y[33] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[34] --> Y[34] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[34] --> Q[34] wire \dword [34] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[34] --> Y[34] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[35] --> Y[35] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[35] --> Q[35] wire \dword [35] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[35] --> Y[35] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[36] --> Y[36] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[36] --> Q[36] wire \dword [36] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[36] --> Y[36] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[37] --> Y[37] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[37] --> Q[37] wire \dword [37] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[37] --> Y[37] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[38] --> Y[38] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[38] --> Q[38] wire \dword [38] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[38] --> Y[38] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[39] --> Y[39] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[39] --> Q[39] wire \dword [39] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[39] --> Y[39] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[40] --> Y[40] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[40] --> Q[40] wire \dword [40] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[40] --> Y[40] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[41] --> Y[41] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[41] --> Q[41] wire \dword [41] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[41] --> Y[41] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[42] --> Y[42] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[42] --> Q[42] wire \dword [42] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[42] --> Y[42] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[43] --> Y[43] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[43] --> Q[43] wire \dword [43] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[43] --> Y[43] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[44] --> Y[44] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[44] --> Q[44] wire \dword [44] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[44] --> Y[44] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[45] --> Y[45] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[45] --> Q[45] wire \dword [45] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[45] --> Y[45] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[46] --> Y[46] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[46] --> Q[46] wire \dword [46] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[46] --> Y[46] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[47] --> Y[47] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[47] --> Q[47] wire \dword [47] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[47] --> Y[47] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[48] --> Y[48] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[48] --> Q[48] wire \dword [48] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[48] --> Y[48] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[49] --> Y[49] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[49] --> Q[49] wire \dword [49] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[49] --> Y[49] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[50] --> Y[50] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[50] --> Q[50] wire \dword [50] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[50] --> Y[50] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[51] --> Y[51] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[51] --> Q[51] wire \dword [51] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[51] --> Y[51] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[52] --> Y[52] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[52] --> Q[52] wire \dword [52] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[52] --> Y[52] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[53] --> Y[53] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[53] --> Q[53] wire \dword [53] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[53] --> Y[53] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[54] --> Y[54] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[54] --> Q[54] wire \dword [54] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[54] --> Y[54] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[55] --> Y[55] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[55] --> Q[55] wire \dword [55] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[55] --> Y[55] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[56] --> Y[56] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[56] --> Q[56] wire \dword [56] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[56] --> Y[56] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[57] --> Y[57] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[57] --> Q[57] wire \dword [57] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[57] --> Y[57] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[58] --> Y[58] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[58] --> Q[58] wire \dword [58] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[58] --> Y[58] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[59] --> Y[59] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[59] --> Q[59] wire \dword [59] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[59] --> Y[59] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[60] --> Y[60] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[60] --> Q[60] wire \dword [60] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[60] --> Y[60] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[61] --> Y[61] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[61] --> Q[61] wire \dword [61] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[61] --> Y[61] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[62] --> Y[62] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[62] --> Q[62] wire \dword [62] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[62] --> Y[62] Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[63] --> Y[63] cell $auto$proc_dlatch.cc:432:proc_dlatch$13483 ($dlatch) source: ./dynamic_part_select/latch_002_gate.v:9.4-17.13 D[63] --> Q[63] wire \dword [63] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[63] --> Y[63] Passed xilinx-mux.ys Passed xilinx-dffs.ys Passed various-dynamic_part_select.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/various' ...passed tests in tests/various Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. Passed xilinx-attributes_test.ys Passed nanoxplore-mux.ys Warning: Resizing cell port pipeline.$mul$< ok make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/simple_abc9' ...passed tests in tests/simple_abc9 Passed xilinx-blockram.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/xilinx' ...passed tests in tests/arch/xilinx Passed ice40-memories.ys make[1]: Leaving directory '/home/buildozer/aports/testing/yosys/src/tests/arch/ice40' ...passed tests in tests/arch/ice40 Passed "make test". rm tests/sat/run-test.mk tests/arch/quicklogic/pp3/run-test.mk tests/arch/efinix/run-test.mk tests/arch/anlogic/run-test.mk tests/arch/microchip/run-test.mk tests/arch/ice40/run-test.mk tests/bugpoint/run-test.mk tests/arch/gowin/run-test.mk tests/arch/machxo2/run-test.mk tests/arch/quicklogic/qlf_k6n10f/run-test.mk tests/opt/run-test.mk tests/arch/intel_alm/run-test.mk tests/various/run-test.mk tests/arch/nanoxplore/run-test.mk tests/verilog/run-test.mk tests/arch/nexus/run-test.mk tests/sim/run-test.mk tests/arch/gatemate/run-test.mk tests/arch/ecp5/run-test.mk tests/svtypes/run-test.mk tests/arch/xilinx/run-test.mk tests/techmap/run-test.mk >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=gcc [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.12 -lboost_python312 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin if [ -n "strip" ]; then strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys; fi if [ -n "strip" ]; then strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib; fi mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ if [ -n "strip" ]; then strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so; fi mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.12/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.12/site-packages/pyosys/libyosys.so cp -r share /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.12/site-packages/pyosys cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.12/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.12/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... 'usr/bin/yosys-config' -> '/home/buildozer/aports/testing/yosys/pkg/yosys-dev/usr/bin/yosys-config' './usr/share/yosys/include' -> '/home/buildozer/aports/testing/yosys/pkg/yosys-dev/./usr/share/yosys/include' './usr/lib/python3.12/site-packages/pyosys/share/include' -> '/home/buildozer/aports/testing/yosys/pkg/yosys-dev/./usr/lib/python3.12/site-packages/pyosys/share/include' >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... 'usr/lib/python3.12' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.12' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.57-r0 python3~3.12 yosys=0.57-r0 >>> py3-yosys*: Package size: 7.6 MB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.57-r0.apk >>> yosys-dev*: Tracing dependencies... python3~3.12 >>> yosys-dev*: Package size: 1.2 MB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.57-r0.apk >>> yosys*: Tracing dependencies... abc so:libboost_filesystem.so.1.84.0 so:libboost_python312.so.1.84.0 so:libc.musl-armv7.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.12.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 48.7 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.57-r0.apk >>> yosys: Build complete at Fri, 24 Oct 2025 21:20:57 +0000 elapsed time 0h 5m 51s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Cleaning up tmpdir >>> yosys: Uninstalling dependencies... ( 1/352) Purging .makedepends-yosys (20251024.211508) ( 2/352) Purging abc (0_git20240102-r0) ( 3/352) Purging bash (5.3.3-r1) bash-5.3.3-r1.pre-deinstall: Executing script... ( 4/352) Purging bison (3.8.2-r2) ( 5/352) Purging boost-dev (1.84.0-r3) ( 6/352) Purging boost1.84-dev (1.84.0-r3) ( 7/352) Purging boost1.84 (1.84.0-r3) ( 8/352) Purging xz-dev (5.8.1-r0) ( 9/352) Purging boost1.84-libs (1.84.0-r3) ( 10/352) Purging boost1.84-atomic (1.84.0-r3) ( 11/352) Purging boost1.84-chrono (1.84.0-r3) ( 12/352) Purging boost1.84-container (1.84.0-r3) ( 13/352) Purging boost1.84-contract (1.84.0-r3) ( 14/352) Purging boost1.84-coroutine (1.84.0-r3) ( 15/352) Purging boost1.84-date_time (1.84.0-r3) ( 16/352) Purging boost1.84-fiber (1.84.0-r3) ( 17/352) Purging boost1.84-graph (1.84.0-r3) ( 18/352) Purging boost1.84-iostreams (1.84.0-r3) ( 19/352) Purging boost1.84-json (1.84.0-r3) ( 20/352) Purging boost1.84-locale (1.84.0-r3) ( 21/352) Purging boost1.84-log_setup (1.84.0-r3) ( 22/352) Purging boost1.84-math (1.84.0-r3) ( 23/352) Purging boost1.84-nowide (1.84.0-r3) ( 24/352) Purging boost1.84-prg_exec_monitor (1.84.0-r3) ( 25/352) Purging boost1.84-program_options (1.84.0-r3) ( 26/352) Purging boost1.84-python3 (1.84.0-r3) ( 27/352) Purging boost1.84-random (1.84.0-r3) ( 28/352) Purging boost1.84-regex (1.84.0-r3) ( 29/352) Purging boost1.84-stacktrace_basic (1.84.0-r3) ( 30/352) Purging boost1.84-stacktrace_noop (1.84.0-r3) ( 31/352) Purging boost1.84-system (1.84.0-r3) ( 32/352) Purging boost1.84-timer (1.84.0-r3) ( 33/352) Purging boost1.84-type_erasure (1.84.0-r3) ( 34/352) Purging boost1.84-unit_test_framework (1.84.0-r3) ( 35/352) Purging boost1.84-url (1.84.0-r3) ( 36/352) Purging boost1.84-wave (1.84.0-r3) ( 37/352) Purging boost1.84-wserialization (1.84.0-r3) ( 38/352) Purging flex-dev (2.6.4-r7) ( 39/352) Purging flex (2.6.4-r7) ( 40/352) Purging m4 (1.4.20-r0) ( 41/352) Purging flex-libs (2.6.4-r7) ( 42/352) Purging gawk (5.3.2-r2) ( 43/352) Purging graphviz-dev (12.2.1-r0) ( 44/352) Purging gd-dev (2.3.3-r10) ( 45/352) Purging gd (2.3.3-r10) ( 46/352) Purging libgd (2.3.3-r10) ( 47/352) Purging gmp-dev (6.3.0-r4) ( 48/352) Purging libgmpxx (6.3.0-r4) ( 49/352) Purging libsm-dev (1.2.6-r0) ( 50/352) Purging pango-dev (1.56.4-r0) ( 51/352) Purging pango-tools (1.56.4-r0) ( 52/352) Purging python3-dev (3.12.12-r0) ( 53/352) Purging graphviz-libs (12.2.1-r0) ( 54/352) Purging protobuf-dev (31.1-r1) ( 55/352) Purging readline-dev (8.3.1-r0) ( 56/352) Purging libhistory (8.3.1-r0) ( 57/352) Purging tcl-dev (8.6.17-r0) ( 58/352) Purging tcl (8.6.17-r0) ( 59/352) Purging tzdata (2025b-r0) ( 60/352) Purging gtkwave (3.3.120-r0) ( 61/352) Purging desktop-file-utils (0.28-r0) ( 62/352) Purging iverilog (12.0-r3) ( 63/352) Purging abseil-cpp-dev (20250814.1-r0) ( 64/352) Purging abseil-cpp-civil-time (20250814.1-r0) ( 65/352) Purging abseil-cpp-cordz-sample-token (20250814.1-r0) ( 66/352) Purging abseil-cpp-crc-cpu-detect (20250814.1-r0) ( 67/352) Purging abseil-cpp-debugging-internal (20250814.1-r0) ( 68/352) Purging abseil-cpp-demangle-internal (20250814.1-r0) ( 69/352) Purging abseil-cpp-demangle-rust (20250814.1-r0) ( 70/352) Purging abseil-cpp-exception-safety-testing (20250814.1-r0) ( 71/352) Purging abseil-cpp-failure-signal-handler (20250814.1-r0) ( 72/352) Purging abseil-cpp-flags-parse (20250814.1-r0) ( 73/352) Purging abseil-cpp-flags-usage-internal (20250814.1-r0) ( 74/352) Purging abseil-cpp-flags-usage (20250814.1-r0) ( 75/352) Purging abseil-cpp-graphcycles-internal (20250814.1-r0) ( 76/352) Purging abseil-cpp-hash-generator-testing (20250814.1-r0) ( 77/352) Purging abseil-cpp-hashtable-profiler (20250814.1-r0) ( 78/352) Purging abseil-cpp-log-flags (20250814.1-r0) ( 79/352) Purging abseil-cpp-log-internal-test-actions (20250814.1-r0) ( 80/352) Purging abseil-cpp-log-internal-test-matchers (20250814.1-r0) ( 81/352) Purging abseil-cpp-per-thread-sem-test-common (20250814.1-r0) ( 82/352) Purging abseil-cpp-periodic-sampler (20250814.1-r0) ( 83/352) Purging abseil-cpp-poison (20250814.1-r0) ( 84/352) Purging abseil-cpp-pow10-helper (20250814.1-r0) ( 85/352) Purging abseil-cpp-profile-builder (20250814.1-r0) ( 86/352) Purging abseil-cpp-random-distributions (20250814.1-r0) ( 87/352) Purging abseil-cpp-random-internal-distribution-test-util (20250814.1-r0) ( 88/352) Purging abseil-cpp-random-internal-randen-hwaes-impl (20250814.1-r0) ( 89/352) Purging abseil-cpp-random-internal-randen-hwaes (20250814.1-r0) ( 90/352) Purging abseil-cpp-random-seed-sequences (20250814.1-r0) ( 91/352) Purging abseil-cpp-scoped-mock-log (20250814.1-r0) ( 92/352) Purging abseil-cpp-scoped-set-env (20250814.1-r0) ( 93/352) Purging abseil-cpp-spinlock-test-common (20250814.1-r0) ( 94/352) Purging abseil-cpp-stack-consumption (20250814.1-r0) ( 95/352) Purging abseil-cpp-status-matchers (20250814.1-r0) ( 96/352) Purging abseil-cpp-string-view (20250814.1-r0) ( 97/352) Purging abseil-cpp-test-instance-tracker (20250814.1-r0) ( 98/352) Purging abseil-cpp-time-internal-test-util (20250814.1-r0) ( 99/352) Purging abseil-cpp-vlog-config-internal (20250814.1-r0) (100/352) Purging abseil-cpp-flags-internal (20250814.1-r0) (101/352) Purging abseil-cpp-flags-marshalling (20250814.1-r0) (102/352) Purging abseil-cpp-flags-reflection (20250814.1-r0) (103/352) Purging abseil-cpp-log-entry (20250814.1-r0) (104/352) Purging abseil-cpp-log-internal-fnmatch (20250814.1-r0) (105/352) Purging abseil-cpp-log-internal-test-helpers (20250814.1-r0) (106/352) Purging abseil-cpp-log-severity (20250814.1-r0) (107/352) Purging abseil-cpp-random-internal-entropy-pool (20250814.1-r0) (108/352) Purging abseil-cpp-random-internal-randen (20250814.1-r0) (109/352) Purging abseil-cpp-random-internal-randen-slow (20250814.1-r0) (110/352) Purging abseil-cpp-random-internal-seed-material (20250814.1-r0) (111/352) Purging abseil-cpp-random-seed-gen-exception (20250814.1-r0) (112/352) Purging at-spi2-core (2.58.1-r0) (113/352) Purging boost1.84-context (1.84.0-r3) (114/352) Purging boost1.84-log (1.84.0-r3) (115/352) Purging boost1.84-serialization (1.84.0-r3) (116/352) Purging boost1.84-thread (1.84.0-r3) (117/352) Purging fribidi-dev (1.0.16-r2) (118/352) Purging git-perl (2.51.1-r1) (119/352) Purging perl-git (2.51.1-r1) (120/352) Purging perl-error (0.17030-r0) (121/352) Purging perl (5.42.0-r0) (122/352) Purging gmock (1.17.0-r0) (123/352) Purging gtest (1.17.0-r0) (124/352) Purging gtk+3.0 (3.24.51-r0) gtk+3.0-3.24.51-r0.post-deinstall: Executing script... (125/352) Purging gobject-introspection (1.86.0-r0) (126/352) Purging gtk-update-icon-cache (3.24.51-r0) (127/352) Purging hicolor-icon-theme (0.18-r0) (128/352) Purging harfbuzz-dev (11.3.2-r0) (129/352) Purging harfbuzz-cairo (11.3.2-r0) (130/352) Purging harfbuzz-gobject (11.3.2-r0) (131/352) Purging harfbuzz-icu (11.3.2-r0) (132/352) Purging harfbuzz-subset (11.3.2-r0) (133/352) Purging icu-dev (76.1-r1) (134/352) Purging libatk-bridge-2.0 (2.58.1-r0) (135/352) Purging libavif-dev (1.3.0-r0) (136/352) Purging libavif (1.3.0-r0) (137/352) Purging libepoxy (1.5.10-r1) (138/352) Purging libice-dev (1.1.2-r0) (139/352) Purging libxcomposite (0.4.6-r5) (140/352) Purging libxcursor (1.2.3-r0) (141/352) Purging libxdamage (1.1.6-r5) (142/352) Purging libxfixes (6.0.2-r0) (143/352) Purging libxft-dev (2.3.9-r0) (144/352) Purging libxinerama (1.1.5-r4) (145/352) Purging libxkbcommon (1.11.0-r0) (146/352) Purging xkeyboard-config (2.46-r0) (147/352) Purging libxpm-dev (3.5.17-r0) (148/352) Purging libxpm (3.5.17-r0) (149/352) Purging libxrandr (1.5.4-r1) (150/352) Purging libxt (1.3.1-r0) (151/352) Purging libxtst (1.2.5-r0) (152/352) Purging libyuv (0.0.1887.20251502-r1) (153/352) Purging lld21 (21.1.2-r1) (154/352) Purging lld21-libs (21.1.2-r1) (155/352) Purging llvm21-libs (21.1.2-r1) (156/352) Purging pango (1.56.4-r0) (157/352) Purging py3-packaging-pyc (25.0-r0) (158/352) Purging py3-parsing-pyc (3.2.3-r0) (159/352) Purging python3-pyc (3.12.12-r0) (160/352) Purging python3-pycache-pyc0 (3.12.12-r0) (161/352) Purging xcb-proto-pyc (1.17.0-r0) (162/352) Purging pyc (3.12.12-r0) (163/352) Purging scudo-malloc (21.1.2-r0) (164/352) Purging tiff-dev (4.7.1-r0) (165/352) Purging libtiffxx (4.7.1-r0) (166/352) Purging wayland-libs-cursor (1.24.0-r0) (167/352) Purging wayland-libs-egl (1.24.0-r0) (168/352) Purging zstd-dev (1.5.7-r2) (169/352) Purging zstd (1.5.7-r2) (170/352) Purging protoc (31.1-r1) (171/352) Purging libprotobuf (31.1-r1) (172/352) Purging libprotobuf-lite (31.1-r1) (173/352) Purging protobuf (31.1-r1) (174/352) Purging libprotoc (31.1-r1) (175/352) Purging abseil-cpp-die-if-null (20250814.1-r0) (176/352) Purging abseil-cpp-log-internal-message (20250814.1-r0) (177/352) Purging abseil-cpp-examine-stack (20250814.1-r0) (178/352) Purging abseil-cpp-statusor (20250814.1-r0) (179/352) Purging abseil-cpp-status (20250814.1-r0) (180/352) Purging abseil-cpp-cord (20250814.1-r0) (181/352) Purging abseil-cpp-cordz-info (20250814.1-r0) (182/352) Purging abseil-cpp-cordz-handle (20250814.1-r0) (183/352) Purging abseil-cpp-log-internal-log-sink-set (20250814.1-r0) (184/352) Purging abseil-cpp-flags-config (20250814.1-r0) (185/352) Purging abseil-cpp-flags-program-name (20250814.1-r0) (186/352) Purging abseil-cpp-raw-hash-set (20250814.1-r0) (187/352) Purging abseil-cpp-hashtablez-sampler (20250814.1-r0) (188/352) Purging abseil-cpp-synchronization (20250814.1-r0) (189/352) Purging abseil-cpp-stacktrace (20250814.1-r0) (190/352) Purging abseil-cpp-malloc-internal (20250814.1-r0) (191/352) Purging abseil-cpp-log-internal-conditions (20250814.1-r0) (192/352) Purging abseil-cpp-base (20250814.1-r0) (193/352) Purging abseil-cpp-log-globals (20250814.1-r0) (194/352) Purging abseil-cpp-hash (20250814.1-r0) (195/352) Purging abseil-cpp-city (20250814.1-r0) (196/352) Purging abseil-cpp-cord-internal (20250814.1-r0) (197/352) Purging abseil-cpp-cordz-functions (20250814.1-r0) (198/352) Purging abseil-cpp-crc-cord-state (20250814.1-r0) (199/352) Purging abseil-cpp-crc32c (20250814.1-r0) (200/352) Purging abseil-cpp-crc-internal (20250814.1-r0) (201/352) Purging abseil-cpp-decode-rust-punycode (20250814.1-r0) (202/352) Purging abseil-cpp-exponential-biased (20250814.1-r0) (203/352) Purging abseil-cpp-flags-commandlineflag (20250814.1-r0) (204/352) Purging abseil-cpp-flags-commandlineflag-internal (20250814.1-r0) (205/352) Purging abseil-cpp-flags-private-handle-accessor (20250814.1-r0) (206/352) Purging abseil-cpp-log-internal-format (20250814.1-r0) (207/352) Purging abseil-cpp-kernel-timeout-internal (20250814.1-r0) (208/352) Purging abseil-cpp-time (20250814.1-r0) (209/352) Purging abseil-cpp-log-internal-check-op (20250814.1-r0) (210/352) Purging abseil-cpp-str-format-internal (20250814.1-r0) (211/352) Purging abseil-cpp-strings (20250814.1-r0) (212/352) Purging abseil-cpp-int128 (20250814.1-r0) (213/352) Purging abseil-cpp-leak-check (20250814.1-r0) (214/352) Purging abseil-cpp-log-initialize (20250814.1-r0) (215/352) Purging abseil-cpp-log-internal-globals (20250814.1-r0) (216/352) Purging abseil-cpp-log-internal-nullguard (20250814.1-r0) (217/352) Purging abseil-cpp-log-internal-structured-proto (20250814.1-r0) (218/352) Purging abseil-cpp-log-internal-proto (20250814.1-r0) (219/352) Purging abseil-cpp-log-sink (20250814.1-r0) (220/352) Purging abseil-cpp-random-internal-platform (20250814.1-r0) (221/352) Purging abseil-cpp-strings-internal (20250814.1-r0) (222/352) Purging abseil-cpp-raw-logging-internal (20250814.1-r0) (223/352) Purging abseil-cpp-spinlock-wait (20250814.1-r0) (224/352) Purging abseil-cpp-strerror (20250814.1-r0) (225/352) Purging abseil-cpp-symbolize (20250814.1-r0) (226/352) Purging abseil-cpp-throw-delegate (20250814.1-r0) (227/352) Purging abseil-cpp-time-zone (20250814.1-r0) (228/352) Purging abseil-cpp-tracing-internal (20250814.1-r0) (229/352) Purging abseil-cpp-utf8-for-code-point (20250814.1-r0) (230/352) Purging aom-dev (3.13.1-r0) (231/352) Purging aom-libs (3.13.1-r0) (232/352) Purging at-spi2-core-libs (2.58.1-r0) (233/352) Purging cups-libs (2.4.13-r0) (234/352) Purging avahi-libs (0.8-r22) (235/352) Purging boost1.84-filesystem (1.84.0-r3) (236/352) Purging graphite2-dev (1.3.14-r6) (237/352) Purging cairo-dev (1.18.4-r0) (238/352) Purging cairo-tools (1.18.4-r0) (239/352) Purging xcb-util-dev (0.4.1-r3) (240/352) Purging util-macros (1.20.2-r0) (241/352) Purging xcb-util (0.4.1-r3) (242/352) Purging cairo-gobject (1.18.4-r0) (243/352) Purging cairo (1.18.4-r0) (244/352) Purging fontconfig-dev (2.17.1-r0) (245/352) Purging freetype-dev (2.13.3-r0) (246/352) Purging brotli-dev (1.1.0-r2) (247/352) Purging brotli (1.1.0-r2) (248/352) Purging glib-dev (2.86.1-r0) (249/352) Purging bzip2-dev (1.0.8-r6) (250/352) Purging docbook-xsl (1.79.2-r13) (251/352) Purging docbook-xsl-ns (1.79.2-r13) docbook-xsl-ns-1.79.2-r13.pre-deinstall: Executing script... (252/352) Purging docbook-xsl-nons (1.79.2-r13) docbook-xsl-nons-1.79.2-r13.pre-deinstall: Executing script... (253/352) Purging docbook-xml (4.5-r10) docbook-xml-4.5-r10.pre-deinstall: Executing script... (254/352) Purging gettext-dev (0.24.1-r1) (255/352) Purging xz (5.8.1-r0) (256/352) Purging gettext-asprintf (0.24.1-r1) (257/352) Purging gettext (0.24.1-r1) (258/352) Purging gettext-envsubst (0.24.1-r1) (259/352) Purging libxml2-utils (2.13.9-r0) (260/352) Purging libxslt (1.1.43-r3) (261/352) Purging py3-packaging (25.0-r0) (262/352) Purging py3-parsing (3.2.3-r0) (263/352) Purging pcre2-dev (10.46-r0) (264/352) Purging libpcre2-16 (10.46-r0) (265/352) Purging libpcre2-32 (10.46-r0) (266/352) Purging libedit-dev (20251016.3.1-r0) (267/352) Purging ncurses-dev (6.5_p20251010-r0) (268/352) Purging libncurses++ (6.5_p20251010-r0) (269/352) Purging bsd-compat-headers (0.7.2-r6) (270/352) Purging dav1d-dev (1.5.1-r0) (271/352) Purging libdav1d (1.5.1-r0) (272/352) Purging dbus-libs (1.16.2-r1) (273/352) Purging expat-dev (2.7.3-r0) (274/352) Purging expat (2.7.3-r0) (275/352) Purging libxft (2.3.9-r0) (276/352) Purging fontconfig (2.17.1-r0) (277/352) Purging harfbuzz (11.3.2-r0) (278/352) Purging freetype (2.13.3-r0) (279/352) Purging fribidi (1.0.16-r2) (280/352) Purging libxrender-dev (0.9.12-r0) (281/352) Purging libxrender (0.9.12-r0) (282/352) Purging libxext-dev (1.3.6-r2) (283/352) Purging libx11-dev (1.8.12-r1) (284/352) Purging xtrans (1.6.0-r0) (285/352) Purging libxcb-dev (1.17.0-r0) (286/352) Purging xcb-proto (1.17.0-r0) (287/352) Purging python3 (3.12.12-r0) (288/352) Purging gdbm (1.26-r0) (289/352) Purging gdk-pixbuf (2.44.4-r0) gdk-pixbuf-2.44.4-r0.pre-deinstall: Executing script... (290/352) Purging shared-mime-info (2.4-r6) shared-mime-info-2.4-r6.post-deinstall: Executing script... (291/352) Purging gettext-libs (0.24.1-r1) (292/352) Purging libatk-1.0 (2.58.1-r0) (293/352) Purging glib (2.86.1-r0) (294/352) Purging gnutls (3.8.8-r0) (295/352) Purging graphite2 (1.3.14-r6) (296/352) Purging icu (76.1-r1) (297/352) Purging icu-libs (76.1-r1) (298/352) Purging icu-data-en (76.1-r1) (299/352) Purging util-linux-dev (2.41.2-r0) (300/352) Purging libfdisk (2.41.2-r0) (301/352) Purging liblastlog2 (2.41.2-r0) (302/352) Purging libmount (2.41.2-r0) (303/352) Purging libsmartcols (2.41.2-r0) (304/352) Purging libblkid (2.41.2-r0) (305/352) Purging libxdmcp-dev (1.1.5-r1) (306/352) Purging libxi (1.8.2-r0) (307/352) Purging libxext (1.3.6-r2) (308/352) Purging libx11 (1.8.12-r1) (309/352) Purging libxcb (1.17.0-r0) (310/352) Purging libxdmcp (1.1.5-r1) (311/352) Purging libbsd (0.12.2-r0) (312/352) Purging libbz2 (1.0.8-r6) (313/352) Purging libeconf (0.8.0-r0) (314/352) Purging libffi-dev (3.5.2-r0) (315/352) Purging linux-headers (6.16.12-r0) (316/352) Purging wayland-libs-client (1.24.0-r0) (317/352) Purging p11-kit (0.25.5-r2) (318/352) Purging libffi (3.5.2-r0) (319/352) Purging libformw (6.5_p20251010-r0) (320/352) Purging libsm (1.2.6-r0) (321/352) Purging libice (1.1.2-r0) (322/352) Purging libintl (0.24.1-r1) (323/352) Purging libjpeg-turbo-dev (3.1.2-r0) (324/352) Purging libturbojpeg (3.1.2-r0) (325/352) Purging tiff (4.7.1-r0) (326/352) Purging libjpeg-turbo (3.1.2-r0) (327/352) Purging libmd (1.1.0-r0) (328/352) Purging libmenuw (6.5_p20251010-r0) (329/352) Purging libpanelw (6.5_p20251010-r0) (330/352) Purging libpng-dev (1.6.49-r0) (331/352) Purging libpng (1.6.49-r0) (332/352) Purging libwebp-dev (1.6.0-r0) (333/352) Purging libwebpdecoder (1.6.0-r0) (334/352) Purging libwebpdemux (1.6.0-r0) (335/352) Purging libwebpmux (1.6.0-r0) (336/352) Purging libwebp (1.6.0-r0) (337/352) Purging libsharpyuv (1.6.0-r0) (338/352) Purging libtasn1 (4.20.0-r0) (339/352) Purging libuuid (2.41.2-r0) (340/352) Purging libxau-dev (1.0.12-r0) (341/352) Purging libxau (1.0.12-r0) (342/352) Purging libxml2 (2.13.9-r0) (343/352) Purging mpdecimal (4.0.1-r0) (344/352) Purging nettle (3.10.2-r0) (345/352) Purging pixman-dev (0.46.4-r0) (346/352) Purging pixman (0.46.4-r0) (347/352) Purging sqlite-dev (3.50.4-r1) (348/352) Purging sqlite-libs (3.50.4-r1) (349/352) Purging sqlite (3.50.4-r1) (350/352) Purging xorgproto (2024.1-r0) (351/352) Purging xz-libs (5.8.1-r0) (352/352) Purging zlib-dev (1.3.1-r2) busybox-1.37.0-r24.trigger: Executing script... OK: 289 MiB in 105 packages >>> yosys: Updating the testing/armv7 repository index... >>> yosys: Signing the index...