>>> nextpnr: Building testing/nextpnr 0.7-r0 (using abuild 3.13.0-r5) started Fri, 20 Sep 2024 22:51:49 +0000 >>> nextpnr: Checking sanity of /home/buildozer/aports/testing/nextpnr/APKBUILD... >>> nextpnr: Analyzing dependencies... >>> nextpnr: Installing for build: build-base py3-apicula boost-dev cmake eigen-dev icestorm ninja prjtrellis prjtrellis-db-ecp5 python3-dev (1/120) Installing libbz2 (1.0.8-r6) (2/120) Installing libffi (3.4.6-r0) (3/120) Installing gdbm (1.24-r0) (4/120) Installing xz-libs (5.6.2-r0) (5/120) Installing mpdecimal (4.0.0-r0) (6/120) Installing libpanelw (6.5_p20240601-r1) (7/120) Installing sqlite-libs (3.46.1-r0) (8/120) Installing python3 (3.12.6-r0) (9/120) Installing python3-pycache-pyc0 (3.12.6-r0) (10/120) Installing pyc (3.12.6-r0) (11/120) Installing py3-crcmod-pyc (1.7-r11) (12/120) Installing libgfortran (14.2.0-r2) (13/120) Installing openblas (0.3.28-r0) (14/120) Installing py3-numpy (1.25.2-r1) (15/120) Installing py3-numpy-pyc (1.25.2-r1) (16/120) Installing libgpg-error (1.50-r0) (17/120) Installing libgcrypt (1.10.3-r0) (18/120) Installing libxml2 (2.12.8-r0) (19/120) Installing libxslt (1.1.39-r1) (20/120) Installing py3-lxml (5.1.0-r0) (21/120) Installing py3-lxml-pyc (5.1.0-r0) (22/120) Installing py3-six (1.16.0-r9) (23/120) Installing py3-six-pyc (1.16.0-r9) (24/120) Installing py3-dateutil (2.9.0-r1) (25/120) Installing py3-dateutil-pyc (2.9.0-r1) (26/120) Installing py3-tz (2024.2-r0) (27/120) Installing py3-tz-pyc (2024.2-r0) (28/120) Installing py3-attrs (23.2.0-r1) (29/120) Installing py3-attrs-pyc (23.2.0-r1) (30/120) Installing py3-tzdata (2024.1-r1) (31/120) Installing py3-tzdata-pyc (2024.1-r1) (32/120) Installing py3-pandas (2.0.3-r2) (33/120) Installing py3-pandas-pyc (2.0.3-r2) (34/120) Installing libpng (1.6.44-r0) (35/120) Installing freetype (2.13.3-r0) (36/120) Installing libimagequant (4.2.2-r0) (37/120) Installing libjpeg-turbo (3.0.4-r0) (38/120) Installing lcms2 (2.16-r0) (39/120) Installing openjpeg (2.5.2-r0) (40/120) Installing libsharpyuv (1.4.0-r0) (41/120) Installing libwebp (1.4.0-r0) (42/120) Installing tiff (4.6.0t-r0) (43/120) Installing libwebpdemux (1.4.0-r0) (44/120) Installing libwebpmux (1.4.0-r0) (45/120) Installing libxau (1.0.11-r4) (46/120) Installing libmd (1.1.0-r0) (47/120) Installing libbsd (0.12.2-r0) (48/120) Installing libxdmcp (1.1.5-r1) (49/120) Installing libxcb (1.16.1-r0) (50/120) Installing py3-pillow (10.4.0-r0) (51/120) Installing py3-pillow-pyc (10.4.0-r0) (52/120) Installing py3-openpyxl (3.1.5-r0) (53/120) Installing py3-openpyxl-pyc (3.1.5-r0) (54/120) Installing py3-apicula-pyc (0.11.1-r1) (55/120) Installing python3-pyc (3.12.6-r0) (56/120) Installing py3-crcmod (1.7-r11) (57/120) Installing py3-apicula (0.11.1-r1) (58/120) Installing boost1.84-atomic (1.84.0-r2) (59/120) Installing boost1.84-chrono (1.84.0-r2) (60/120) Installing boost1.84-container (1.84.0-r2) (61/120) Installing boost1.84-context (1.84.0-r2) (62/120) Installing boost1.84-contract (1.84.0-r2) (63/120) Installing boost1.84-coroutine (1.84.0-r2) (64/120) Installing boost1.84-date_time (1.84.0-r2) (65/120) Installing boost1.84-filesystem (1.84.0-r2) (66/120) Installing boost1.84-fiber (1.84.0-r2) (67/120) Installing icu-data-en (74.2-r0) Executing icu-data-en-74.2-r0.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (68/120) Installing icu-libs (74.2-r0) (69/120) Installing boost1.84-regex (1.84.0-r2) (70/120) Installing boost1.84-graph (1.84.0-r2) (71/120) Installing boost1.84-iostreams (1.84.0-r2) (72/120) Installing boost1.84-thread (1.84.0-r2) (73/120) Installing boost1.84-locale (1.84.0-r2) (74/120) Installing boost1.84-log (1.84.0-r2) (75/120) Installing boost1.84-log_setup (1.84.0-r2) (76/120) Installing boost1.84-math (1.84.0-r2) (77/120) Installing boost1.84-prg_exec_monitor (1.84.0-r2) (78/120) Installing boost1.84-program_options (1.84.0-r2) (79/120) Installing boost1.84-python3 (1.84.0-r2) (80/120) Installing boost1.84-random (1.84.0-r2) (81/120) Installing boost1.84-serialization (1.84.0-r2) (82/120) Installing boost1.84-stacktrace_basic (1.84.0-r2) (83/120) Installing boost1.84-stacktrace_noop (1.84.0-r2) (84/120) Installing boost1.84-system (1.84.0-r2) (85/120) Installing boost1.84-timer (1.84.0-r2) (86/120) Installing boost1.84-type_erasure (1.84.0-r2) (87/120) Installing boost1.84-unit_test_framework (1.84.0-r2) (88/120) Installing boost1.84-url (1.84.0-r2) (89/120) Installing boost1.84-wave (1.84.0-r2) (90/120) Installing boost1.84-wserialization (1.84.0-r2) (91/120) Installing boost1.84-json (1.84.0-r2) (92/120) Installing boost1.84-nowide (1.84.0-r2) (93/120) Installing boost1.84-libs (1.84.0-r2) (94/120) Installing boost1.84 (1.84.0-r2) (95/120) Installing linux-headers (6.6-r0) (96/120) Installing bzip2-dev (1.0.8-r6) (97/120) Installing icu (74.2-r0) (98/120) Installing icu-dev (74.2-r0) (99/120) Installing xz (5.6.2-r0) (100/120) Installing xz-dev (5.6.2-r0) (101/120) Installing zlib-dev (1.3.1-r2) (102/120) Installing zstd (1.5.6-r1) (103/120) Installing zstd-dev (1.5.6-r1) (104/120) Installing boost1.84-dev (1.84.0-r2) (105/120) Installing boost-dev (1.84.0-r2) (106/120) Installing libarchive (3.7.5-r0) (107/120) Installing rhash-libs (1.4.4-r0) (108/120) Installing libuv (1.48.0-r0) (109/120) Installing cmake (3.30.3-r0) (110/120) Installing eigen-dev (3.4.0-r10) (111/120) Installing confuse (3.3-r4) (112/120) Installing libusb (1.0.27-r0) (113/120) Installing libftdi1 (1.5-r3) Executing libftdi1-1.5-r3.pre-install (114/120) Installing icestorm (0_git20240517-r0) (115/120) Installing samurai (1.2-r5) (116/120) Installing prjtrellis (1.4-r2) (117/120) Installing prjtrellis-db (0_git20230929-r0) (118/120) Installing prjtrellis-db-ecp5 (0_git20230929-r0) (119/120) Installing python3-dev (3.12.6-r0) (120/120) Installing .makedepends-nextpnr (20240920.225152) Executing busybox-1.36.1-r32.trigger OK: 1112 MiB in 223 packages >>> nextpnr: Cleaning up srcdir >>> nextpnr: Cleaning up pkgdir >>> nextpnr: Cleaning up tmpdir >>> nextpnr: Fetching https://distfiles.alpinelinux.org/distfiles/edge/nextpnr-0.7.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 4601k 100 4601k 0 0 20.2M 0 --:--:-- --:--:-- --:--:-- 20.2M >>> nextpnr: Fetching https://distfiles.alpinelinux.org/distfiles/edge/nextpnr-0.7.tar.gz >>> nextpnr: Checking sha512sums... nextpnr-0.7.tar.gz: OK >>> nextpnr: Unpacking /var/cache/distfiles/nextpnr-0.7.tar.gz... --> building for generic -- The CXX compiler identification is GNU 14.2.0 -- The C compiler identification is GNU 14.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building without IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.84.0") found components: filesystem program_options iostreams system thread regex chrono atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python (found version "3.12.6") -- Found Boost: /usr/include (found version "1.84.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring done (1.9s) -- Generating done (0.0s) -- Build files have been written to: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-generic [1/108] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [2/108] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o [3/108] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o [4/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o [5/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o [6/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o [7/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o [8/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o [9/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o [10/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o [11/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o [12/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o [13/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o [14/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o [15/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o [16/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o [17/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o [18/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o [19/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg2d.cc.o [20/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/oourafft/fftsg.cc.o [21/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o [22/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o [23/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o [24/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o [25/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer_static.cc.o [26/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o [27/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o [28/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o [29/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o [30/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o [31/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing_log.cc.o [32/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o [33/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o [34/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o [35/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o [36/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o [37/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o [38/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o [39/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o [40/108] Linking CXX executable bba/bbasm [41/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o [42/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o [43/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o [44/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o [45/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o [46/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o [47/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o [48/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o [49/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o [50/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o [51/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o [52/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o [53/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o [54/108] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o [55/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o [56/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o [57/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o [58/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o [59/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o [60/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o [61/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o [62/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o [63/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o In file included from /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-all.cc:43: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc: In function 'bool testing::internal::StackGrowsDown()': /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1009:24: warning: 'dummy' may be used uninitialized [-Wmaybe-uninitialized] 1009 | StackLowerThanAddress(&dummy, &result); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:999:6: note: by argument 1 of type 'const void*' to 'void testing::internal::StackLowerThanAddress(const void*, bool*)' declared here 999 | void StackLowerThanAddress(const void* ptr, bool* result) { | ^~~~~~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1007:7: note: 'dummy' declared here 1007 | int dummy; | ^~~~~ [64/108] Linking CXX shared library generated/3rdparty/googletest/libgtest.so [65/108] Linking CXX shared library generated/3rdparty/googletest/libgtest_main.so [66/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o [67/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o [68/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o [69/108] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o [70/108] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o [71/108] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o [72/108] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o [73/108] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o [74/108] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o [75/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o [76/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o [77/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o [78/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o [79/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o [80/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o [81/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o [82/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o [83/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o [84/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o [85/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o [86/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o [87/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o [88/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o [89/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o [90/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o [91/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o [92/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o [93/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o [94/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o [95/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o [96/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o [97/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o [98/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o [99/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o [100/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o [101/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o [102/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o [103/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o [104/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o [105/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o [106/108] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o [107/108] Linking CXX executable nextpnr-generic-test [108/108] Linking CXX executable nextpnr-generic --> building for ice40 -- The CXX compiler identification is GNU 14.2.0 -- The C compiler identification is GNU 14.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building without IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.84.0") found components: filesystem program_options iostreams system thread regex chrono atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python (found version "3.12.6") -- Found Boost: /usr/include (found version "1.84.0") found components: program_options filesystem system -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icebox -- Using iCE40 chipdb: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-ice40/ice40/chipdb -- Configuring done (2.1s) -- Generating done (0.0s) -- Build files have been written to: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-ice40 [1/119] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o [2/119] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o [3/119] Generating chipdb/chipdb-384.bba [4/119] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [5/119] Linking CXX executable bba/bbasm [6/119] Generating chipdb/chipdb-1k.bba In file included from /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-all.cc:43: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc: In function 'bool testing::internal::StackGrowsDown()': /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1009:24: warning: 'dummy' may be used uninitialized [-Wmaybe-uninitialized] 1009 | StackLowerThanAddress(&dummy, &result); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:999:6: note: by argument 1 of type 'const void*' to 'void testing::internal::StackLowerThanAddress(const void*, bool*)' declared here 999 | void StackLowerThanAddress(const void* ptr, bool* result) { | ^~~~~~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1007:7: note: 'dummy' declared here 1007 | int dummy; | ^~~~~ [7/119] Linking CXX shared library generated/3rdparty/googletest/libgtest.so [8/119] Linking CXX shared library generated/3rdparty/googletest/libgtest_main.so [9/119] Generating chipdb/chipdb-5k.bba [10/119] Generating chipdb/chipdb-u4k.bba [11/119] Generating chipdb/chipdb-8k.bba [12/119] Generating ice40/chipdb/chipdb-u4k.cc [13/119] Generating ice40/chipdb/chipdb-8k.cc [14/119] Generating ice40/chipdb/chipdb-5k.cc [15/119] Generating ice40/chipdb/chipdb-384.cc [16/119] Generating ice40/chipdb/chipdb-1k.cc [17/119] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o [18/119] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o [19/119] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o [20/119] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o [21/119] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o [22/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o [23/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o [24/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o [25/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o [26/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o [27/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o [28/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o [29/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o [30/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o [31/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o [32/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o [33/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o [34/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o [35/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg2d.cc.o [36/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/oourafft/fftsg.cc.o [37/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o [38/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o [39/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o [40/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o [41/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_static.cc.o [42/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o [43/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o [44/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o [45/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o [46/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o [47/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing_log.cc.o [48/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o [49/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o [50/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o [51/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o [52/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o [53/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o [54/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o [55/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o [56/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o [57/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o [58/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o [59/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o [60/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o [61/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o [62/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o [63/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o [64/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o [65/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o [66/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o [67/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o [68/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o [69/119] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o [70/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o [71/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o [72/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o [73/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o [74/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o [75/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o [76/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o [77/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o [78/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o [79/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o [80/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o [81/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o [82/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o [83/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o [84/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o [85/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o [86/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o [87/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o [88/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o [89/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o [90/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o [91/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o [92/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o [93/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o [94/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o [95/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o [96/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o [97/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o [98/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o [99/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o [100/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o [101/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o [102/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o [103/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o [104/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o [105/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o [106/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o [107/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o [108/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o [109/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o [110/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o [111/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o [112/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o [113/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o [114/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o [115/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o [116/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o [117/119] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o [118/119] Linking CXX executable nextpnr-ice40-test [119/119] Linking CXX executable nextpnr-ice40 --> building for ecp5 -- The CXX compiler identification is GNU 14.2.0 -- The C compiler identification is GNU 14.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building without IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.84.0") found components: filesystem program_options iostreams system thread regex chrono atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python (found version "3.12.6") -- Found Boost: /usr/include (found version "1.84.0") found components: program_options filesystem system -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Trellis install prefix: /usr -- Searching for pytrellis in: /usr/local/lib;/usr/lib;//lib;/usr/lib;/usr/lib;/usr/X11R6/lib;/usr/pkg/lib;/opt/lib;/usr/lib/X11 -- Trellis library directory: /usr/lib -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-ecp5/ecp5/chipdb -- Configuring done (2.4s) -- Generating done (0.0s) -- Build files have been written to: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-ecp5 [1/117] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o [2/117] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o [3/117] Generating chipdb/chipdb-25k.bba [4/117] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [5/117] Linking CXX executable bba/bbasm In file included from /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-all.cc:43: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc: In function 'bool testing::internal::StackGrowsDown()': /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1009:24: warning: 'dummy' may be used uninitialized [-Wmaybe-uninitialized] 1009 | StackLowerThanAddress(&dummy, &result); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:999:6: note: by argument 1 of type 'const void*' to 'void testing::internal::StackLowerThanAddress(const void*, bool*)' declared here 999 | void StackLowerThanAddress(const void* ptr, bool* result) { | ^~~~~~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1007:7: note: 'dummy' declared here 1007 | int dummy; | ^~~~~ [6/117] Linking CXX shared library generated/3rdparty/googletest/libgtest.so [7/117] Linking CXX shared library generated/3rdparty/googletest/libgtest_main.so [8/117] Generating chipdb/chipdb-45k.bba [9/117] Generating chipdb/chipdb-85k.bba [10/117] Generating ecp5/chipdb/chipdb-85k.cc [11/117] Generating ecp5/chipdb/chipdb-45k.cc [12/117] Generating ecp5/chipdb/chipdb-25k.cc [13/117] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o [14/117] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o [15/117] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o [16/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pio.cc.o [17/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/pack.cc.o [18/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/main.cc.o [19/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/lpf.cc.o [20/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/globals.cc.o [21/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/gfx.cc.o [22/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/config.cc.o [23/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/cells.cc.o [24/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/bitstream.cc.o [25/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/baseconfigs.cc.o [26/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_pybindings.cc.o [27/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch_place.cc.o [28/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/ecp5/arch.cc.o [29/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/frontend/json_frontend.cc.o [30/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/json/jsonwrite.cc.o [31/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg2d.cc.o [32/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/oourafft/fftsg.cc.o [33/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/3rdparty/json11/json11.cpp.o [34/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/route/router2.cc.o [35/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/route/router1.cc.o [36/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/timing_opt.cc.o [37/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_static.cc.o [38/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer_heap.cc.o [39/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/placer1.cc.o [40/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/place_common.cc.o [41/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/parallel_refine.cc.o [42/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/place/detail_place_core.cc.o [43/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing_log.cc.o [44/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/timing.cc.o [45/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/svg.cc.o [46/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/str_ring_buffer.cc.o [47/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/sdf.cc.o [48/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/report.cc.o [49/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/pybindings.cc.o [50/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/property.cc.o [51/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_types.cc.o [52/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_namespaces.cc.o [53/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr_assertions.cc.o [54/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/nextpnr.cc.o [55/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/log.cc.o [56/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstringlist.cc.o [57/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/idstring.cc.o [58/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/handle_error.cc.o [59/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/embed.cc.o [60/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/design_utils.cc.o [61/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/context.cc.o [62/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/command.cc.o [63/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/bits.cc.o [64/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/basectx.cc.o [65/117] Building CXX object CMakeFiles/nextpnr-ecp5-test.dir/common/kernel/archcheck.cc.o [66/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o [67/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o [68/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o [69/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o [70/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o [71/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o [72/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o [73/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o [74/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o [75/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o [76/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o [77/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o [78/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o [79/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o [80/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o [81/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o [82/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o [83/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o [84/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o [85/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o [86/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o [87/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o [88/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o [89/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o [90/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o [91/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o [92/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o [93/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o [94/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o [95/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o [96/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o [97/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o [98/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o [99/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o [100/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o [101/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o [102/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o [103/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o [104/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o [105/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o [106/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o [107/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o [108/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o [109/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o [110/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o [111/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o [112/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o [113/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o [114/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o [115/117] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o [116/117] Linking CXX executable nextpnr-ecp5-test [117/117] Linking CXX executable nextpnr-ecp5 --> building for gowin -- The CXX compiler identification is GNU 14.2.0 -- The C compiler identification is GNU 14.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building without IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.84.0") found components: filesystem program_options iostreams system thread regex chrono atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python (found version "3.12.6") -- Found Boost: /usr/include (found version "1.84.0") found components: program_options filesystem system -- Configuring architecture: gowin -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Using Gowin chipdb: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-gowin/gowin/chipdb -- Configuring done (2.0s) -- Generating done (0.0s) -- Build files have been written to: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-gowin [1/122] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o [2/122] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o [3/122] Generating chipdb/chipdb-GW1N-1.bba [4/122] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [5/122] Generating chipdb/chipdb-GW1NZ-1.bba [6/122] Generating chipdb/chipdb-GW1N-4.bba [7/122] Generating chipdb/chipdb-GW1N-9.bba [8/122] Linking CXX executable bba/bbasm [9/122] Generating chipdb/chipdb-GW1N-9C.bba In file included from /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-all.cc:43: /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc: In function 'bool testing::internal::StackGrowsDown()': /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1009:24: warning: 'dummy' may be used uninitialized [-Wmaybe-uninitialized] 1009 | StackLowerThanAddress(&dummy, &result); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:999:6: note: by argument 1 of type 'const void*' to 'void testing::internal::StackLowerThanAddress(const void*, bool*)' declared here 999 | void StackLowerThanAddress(const void* ptr, bool* result) { | ^~~~~~~~~~~~~~~~~~~~~ /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/3rdparty/googletest/googletest/src/gtest-death-test.cc:1007:7: note: 'dummy' declared here 1007 | int dummy; | ^~~~~ [10/122] Linking CXX shared library generated/3rdparty/googletest/libgtest.so [11/122] Linking CXX shared library generated/3rdparty/googletest/libgtest_main.so [12/122] Generating chipdb/chipdb-GW1NS-2.bba [13/122] Generating chipdb/chipdb-GW1NS-4.bba [14/122] Generating chipdb/chipdb-GW2A-18.bba [15/122] Generating gowin/chipdb/chipdb-GW2A-18.cc [16/122] Generating gowin/chipdb/chipdb-GW1NZ-1.cc [17/122] Generating gowin/chipdb/chipdb-GW1NS-4.cc [18/122] Generating gowin/chipdb/chipdb-GW1NS-2.cc [19/122] Generating gowin/chipdb/chipdb-GW1N-9C.cc [20/122] Generating gowin/chipdb/chipdb-GW1N-9.cc [21/122] Generating gowin/chipdb/chipdb-GW1N-4.cc [22/122] Generating gowin/chipdb/chipdb-GW1N-1.cc [23/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o [24/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o [25/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o [26/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o [27/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o [28/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o [29/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o [30/122] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o [31/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/pack.cc.o [32/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/main.cc.o [33/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/globals.cc.o [34/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/gfx.cc.o [35/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/cst.cc.o [36/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/cells.cc.o [37/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/arch_pybindings.cc.o [38/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/gowin/arch.cc.o [39/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/frontend/json_frontend.cc.o [40/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/json/jsonwrite.cc.o [41/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg2d.cc.o [42/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/oourafft/fftsg.cc.o [43/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/3rdparty/json11/json11.cpp.o [44/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/route/router2.cc.o [45/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/route/router1.cc.o [46/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/timing_opt.cc.o [47/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_static.cc.o [48/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer_heap.cc.o [49/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/placer1.cc.o [50/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/place_common.cc.o [51/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/parallel_refine.cc.o [52/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/place/detail_place_core.cc.o [53/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing_log.cc.o [54/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/timing.cc.o [55/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/svg.cc.o [56/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/str_ring_buffer.cc.o [57/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/sdf.cc.o [58/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/report.cc.o [59/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/pybindings.cc.o [60/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/property.cc.o [61/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_types.cc.o [62/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_namespaces.cc.o [63/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr_assertions.cc.o [64/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/nextpnr.cc.o [65/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/log.cc.o [66/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstringlist.cc.o [67/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/idstring.cc.o [68/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/handle_error.cc.o [69/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/embed.cc.o [70/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/design_utils.cc.o [71/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/context.cc.o [72/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/command.cc.o [73/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/bits.cc.o [74/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/basectx.cc.o [75/122] Building CXX object CMakeFiles/nextpnr-gowin-test.dir/common/kernel/archcheck.cc.o [76/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o [77/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o [78/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o [79/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o [80/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o [81/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o [82/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o [83/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o [84/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o [85/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o [86/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o [87/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o [88/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/json11/json11.cpp.o [89/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o [90/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o [91/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o [92/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o [93/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o [94/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o [95/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o [96/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o [97/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o [98/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o [99/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o [100/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o [101/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o [102/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o [103/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o [104/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o [105/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o [106/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o [107/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o [108/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o [109/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o [110/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o [111/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o [112/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o [113/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o [114/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o [115/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o [116/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o [117/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o [118/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o [119/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o [120/122] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o [121/122] Linking CXX executable nextpnr-gowin-test [122/122] Linking CXX executable nextpnr-gowin Test project /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-generic Start 1: generic-test 1/1 Test #1: generic-test ..................... Passed 0.01 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.01 sec Test project /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-ice40 Start 1: ice40-test 1/1 Test #1: ice40-test ....................... Passed 0.01 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.01 sec Test project /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-ecp5 Start 1: ecp5-test 1/1 Test #1: ecp5-test ........................ Passed 0.01 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.01 sec Test project /home/buildozer/aports/testing/nextpnr/src/nextpnr-nextpnr-0.7/build-gowin Start 1: gowin-test 1/1 Test #1: gowin-test ....................... Passed 0.01 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.01 sec >>> nextpnr: Entering fakeroot... >>> nextpnr-generic*: Running split function _subpkg... -- Install configuration: "MinSizeRel" -- Installing: /home/buildozer/aports/testing/nextpnr/pkg/nextpnr-generic/usr/bin/nextpnr-generic >>> nextpnr-generic*: Preparing subpackage nextpnr-generic... >>> nextpnr-generic*: Stripping binaries >>> nextpnr-generic*: Running postcheck for nextpnr-generic >>> nextpnr-ice40*: Running split function _subpkg... -- Install configuration: "MinSizeRel" -- Installing: /home/buildozer/aports/testing/nextpnr/pkg/nextpnr-ice40/usr/bin/nextpnr-ice40 >>> nextpnr-ice40*: Preparing subpackage nextpnr-ice40... >>> nextpnr-ice40*: Stripping binaries >>> nextpnr-ice40*: Running postcheck for nextpnr-ice40 >>> nextpnr-ecp5*: Running split function _subpkg... -- Install configuration: "MinSizeRel" -- Installing: /home/buildozer/aports/testing/nextpnr/pkg/nextpnr-ecp5/usr/bin/nextpnr-ecp5 >>> nextpnr-ecp5*: Preparing subpackage nextpnr-ecp5... >>> nextpnr-ecp5*: Stripping binaries >>> nextpnr-ecp5*: Running postcheck for nextpnr-ecp5 >>> nextpnr-gowin*: Running split function _subpkg... -- Install configuration: "MinSizeRel" -- Installing: /home/buildozer/aports/testing/nextpnr/pkg/nextpnr-gowin/usr/bin/nextpnr-gowin >>> nextpnr-gowin*: Preparing subpackage nextpnr-gowin... >>> nextpnr-gowin*: Stripping binaries >>> nextpnr-gowin*: Running postcheck for nextpnr-gowin >>> nextpnr*: Running postcheck for nextpnr >>> nextpnr*: Preparing package nextpnr... >>> nextpnr*: Stripping binaries >>> WARNING: nextpnr*: No arch specific binaries found so arch should probably be set to "noarch" >>> nextpnr-ecp5*: Scanning shared objects >>> nextpnr-generic*: Scanning shared objects >>> nextpnr-gowin*: Scanning shared objects >>> nextpnr-ice40*: Scanning shared objects >>> nextpnr*: Scanning shared objects >>> nextpnr-ecp5*: Tracing dependencies... so:libboost_filesystem.so.1.84.0 so:libboost_program_options.so.1.84.0 so:libboost_thread.so.1.84.0 so:libc.musl-loongarch64.so.1 so:libgcc_s.so.1 so:libpython3.12.so.1.0 so:libstdc++.so.6 >>> nextpnr-ecp5*: Package size: 103.0 MB >>> nextpnr-ecp5*: Compressing data... >>> nextpnr-ecp5*: Create checksum... >>> nextpnr-ecp5*: Create nextpnr-ecp5-0.7-r0.apk >>> nextpnr-generic*: Tracing dependencies... so:libboost_filesystem.so.1.84.0 so:libboost_program_options.so.1.84.0 so:libboost_thread.so.1.84.0 so:libc.musl-loongarch64.so.1 so:libgcc_s.so.1 so:libpython3.12.so.1.0 so:libstdc++.so.6 >>> nextpnr-generic*: Package size: 2.0 MB >>> nextpnr-generic*: Compressing data... >>> nextpnr-generic*: Create checksum... >>> nextpnr-generic*: Create nextpnr-generic-0.7-r0.apk >>> nextpnr-gowin*: Tracing dependencies... so:libboost_filesystem.so.1.84.0 so:libboost_program_options.so.1.84.0 so:libboost_thread.so.1.84.0 so:libc.musl-loongarch64.so.1 so:libgcc_s.so.1 so:libpython3.12.so.1.0 so:libstdc++.so.6 >>> nextpnr-gowin*: Package size: 8.2 MB >>> nextpnr-gowin*: Compressing data... >>> nextpnr-gowin*: Create checksum... >>> nextpnr-gowin*: Create nextpnr-gowin-0.7-r0.apk >>> nextpnr-ice40*: Tracing dependencies... so:libboost_filesystem.so.1.84.0 so:libboost_program_options.so.1.84.0 so:libboost_thread.so.1.84.0 so:libc.musl-loongarch64.so.1 so:libgcc_s.so.1 so:libpython3.12.so.1.0 so:libstdc++.so.6 >>> nextpnr-ice40*: Package size: 221.7 MB >>> nextpnr-ice40*: Compressing data... >>> nextpnr-ice40*: Create checksum... >>> nextpnr-ice40*: Create nextpnr-ice40-0.7-r0.apk >>> nextpnr*: Tracing dependencies... >>> nextpnr*: Package size: 4.0 KB >>> nextpnr*: Compressing data... >>> nextpnr*: Create checksum... >>> nextpnr*: Create nextpnr-0.7-r0.apk >>> nextpnr: Build complete at Fri, 20 Sep 2024 23:19:05 +0000 elapsed time 0h 27m 16s >>> nextpnr: Cleaning up srcdir >>> nextpnr: Cleaning up pkgdir >>> nextpnr: Uninstalling dependencies... (1/120) Purging .makedepends-nextpnr (20240920.225152) (2/120) Purging py3-apicula-pyc (0.11.1-r1) (3/120) Purging py3-apicula (0.11.1-r1) (4/120) Purging py3-crcmod-pyc (1.7-r11) (5/120) Purging py3-crcmod (1.7-r11) (6/120) Purging py3-openpyxl-pyc (3.1.5-r0) (7/120) Purging py3-openpyxl (3.1.5-r0) (8/120) Purging py3-lxml-pyc (5.1.0-r0) (9/120) Purging py3-lxml (5.1.0-r0) (10/120) Purging py3-pandas-pyc (2.0.3-r2) (11/120) Purging py3-pandas (2.0.3-r2) (12/120) Purging py3-dateutil-pyc (2.9.0-r1) (13/120) Purging py3-dateutil (2.9.0-r1) (14/120) Purging py3-six-pyc (1.16.0-r9) (15/120) Purging py3-six (1.16.0-r9) (16/120) Purging py3-numpy-pyc (1.25.2-r1) (17/120) Purging py3-numpy (1.25.2-r1) (18/120) Purging openblas (0.3.28-r0) (19/120) Purging py3-tz-pyc (2024.2-r0) (20/120) Purging py3-tz (2024.2-r0) (21/120) Purging py3-tzdata-pyc (2024.1-r1) (22/120) Purging py3-tzdata (2024.1-r1) (23/120) Purging py3-attrs-pyc (23.2.0-r1) (24/120) Purging py3-attrs (23.2.0-r1) (25/120) Purging py3-pillow-pyc (10.4.0-r0) (26/120) Purging py3-pillow (10.4.0-r0) (27/120) Purging boost-dev (1.84.0-r2) (28/120) Purging boost1.84-dev (1.84.0-r2) (29/120) Purging boost1.84 (1.84.0-r2) (30/120) Purging linux-headers (6.6-r0) (31/120) Purging bzip2-dev (1.0.8-r6) (32/120) Purging icu-dev (74.2-r0) (33/120) Purging xz-dev (5.6.2-r0) (34/120) Purging xz (5.6.2-r0) (35/120) Purging zlib-dev (1.3.1-r2) (36/120) Purging zstd-dev (1.5.6-r1) (37/120) Purging zstd (1.5.6-r1) (38/120) Purging boost1.84-libs (1.84.0-r2) (39/120) Purging boost1.84-contract (1.84.0-r2) (40/120) Purging boost1.84-coroutine (1.84.0-r2) (41/120) Purging boost1.84-date_time (1.84.0-r2) (42/120) Purging boost1.84-fiber (1.84.0-r2) (43/120) Purging boost1.84-graph (1.84.0-r2) (44/120) Purging boost1.84-iostreams (1.84.0-r2) (45/120) Purging boost1.84-json (1.84.0-r2) (46/120) Purging boost1.84-locale (1.84.0-r2) (47/120) Purging boost1.84-log_setup (1.84.0-r2) (48/120) Purging boost1.84-math (1.84.0-r2) (49/120) Purging boost1.84-nowide (1.84.0-r2) (50/120) Purging boost1.84-prg_exec_monitor (1.84.0-r2) (51/120) Purging boost1.84-python3 (1.84.0-r2) (52/120) Purging boost1.84-random (1.84.0-r2) (53/120) Purging boost1.84-regex (1.84.0-r2) (54/120) Purging boost1.84-stacktrace_basic (1.84.0-r2) (55/120) Purging boost1.84-stacktrace_noop (1.84.0-r2) (56/120) Purging boost1.84-system (1.84.0-r2) (57/120) Purging boost1.84-timer (1.84.0-r2) (58/120) Purging boost1.84-type_erasure (1.84.0-r2) (59/120) Purging boost1.84-unit_test_framework (1.84.0-r2) (60/120) Purging boost1.84-url (1.84.0-r2) (61/120) Purging boost1.84-wave (1.84.0-r2) (62/120) Purging boost1.84-wserialization (1.84.0-r2) (63/120) Purging cmake (3.30.3-r0) (64/120) Purging eigen-dev (3.4.0-r10) (65/120) Purging icestorm (0_git20240517-r0) (66/120) Purging prjtrellis (1.4-r2) (67/120) Purging prjtrellis-db-ecp5 (0_git20230929-r0) (68/120) Purging prjtrellis-db (0_git20230929-r0) (69/120) Purging python3-dev (3.12.6-r0) (70/120) Purging python3-pyc (3.12.6-r0) (71/120) Purging python3-pycache-pyc0 (3.12.6-r0) (72/120) Purging pyc (3.12.6-r0) (73/120) Purging python3 (3.12.6-r0) (74/120) Purging boost1.84-container (1.84.0-r2) (75/120) Purging boost1.84-context (1.84.0-r2) (76/120) Purging boost1.84-log (1.84.0-r2) (77/120) Purging boost1.84-program_options (1.84.0-r2) (78/120) Purging boost1.84-serialization (1.84.0-r2) (79/120) Purging boost1.84-thread (1.84.0-r2) (80/120) Purging freetype (2.13.3-r0) (81/120) Purging gdbm (1.24-r0) (82/120) Purging icu (74.2-r0) (83/120) Purging icu-libs (74.2-r0) (84/120) Purging lcms2 (2.16-r0) (85/120) Purging libarchive (3.7.5-r0) (86/120) Purging libbz2 (1.0.8-r6) (87/120) Purging libffi (3.4.6-r0) (88/120) Purging libftdi1 (1.5-r3) (89/120) Purging libgfortran (14.2.0-r2) (90/120) Purging libimagequant (4.2.2-r0) (91/120) Purging libpanelw (6.5_p20240601-r1) (92/120) Purging libpng (1.6.44-r0) (93/120) Purging libusb (1.0.27-r0) (94/120) Purging libuv (1.48.0-r0) (95/120) Purging libwebpdemux (1.4.0-r0) (96/120) Purging libwebpmux (1.4.0-r0) (97/120) Purging libxcb (1.16.1-r0) (98/120) Purging libxdmcp (1.1.5-r1) (99/120) Purging libxslt (1.1.39-r1) (100/120) Purging mpdecimal (4.0.0-r0) (101/120) Purging openjpeg (2.5.2-r0) (102/120) Purging rhash-libs (1.4.4-r0) (103/120) Purging samurai (1.2-r5) (104/120) Purging sqlite-libs (3.46.1-r0) (105/120) Purging tiff (4.6.0t-r0) (106/120) Purging boost1.84-filesystem (1.84.0-r2) (107/120) Purging boost1.84-atomic (1.84.0-r2) (108/120) Purging boost1.84-chrono (1.84.0-r2) (109/120) Purging confuse (3.3-r4) (110/120) Purging icu-data-en (74.2-r0) (111/120) Purging libbsd (0.12.2-r0) (112/120) Purging libgcrypt (1.10.3-r0) (113/120) Purging libgpg-error (1.50-r0) (114/120) Purging libjpeg-turbo (3.0.4-r0) (115/120) Purging libmd (1.1.0-r0) (116/120) Purging libwebp (1.4.0-r0) (117/120) Purging libsharpyuv (1.4.0-r0) (118/120) Purging libxau (1.0.11-r4) (119/120) Purging libxml2 (2.12.8-r0) (120/120) Purging xz-libs (5.6.2-r0) Executing busybox-1.36.1-r32.trigger OK: 251 MiB in 103 packages >>> nextpnr: Updating the testing/loongarch64 repository index... >>> nextpnr: Signing the index...