>>> py3-edalize: Building testing/py3-edalize 0.5.4-r0 (using abuild 3.13.0-r3) started Mon, 22 Jul 2024 19:38:19 +0000 >>> py3-edalize: Checking sanity of /home/buildozer/aports/testing/py3-edalize/APKBUILD... >>> py3-edalize: Analyzing dependencies... >>> py3-edalize: Installing for build: build-base py3-jinja2 py3-pandas py3-parsing py3-yaml python3 py3-setuptools py3-gpep517 py3-wheel py3-installer iverilog py3-pytest (1/57) Installing libbz2 (1.0.8-r6) (2/57) Installing libffi (3.4.6-r0) (3/57) Installing gdbm (1.24-r0) (4/57) Installing xz-libs (5.6.2-r0) (5/57) Installing mpdecimal (4.0.0-r0) (6/57) Installing libpanelw (6.5_p20240601-r0) (7/57) Installing sqlite-libs (3.46.0-r0) (8/57) Installing python3 (3.12.3-r1) (9/57) Installing python3-pycache-pyc0 (3.12.3-r1) (10/57) Installing pyc (3.12.3-r1) (11/57) Installing py3-jinja2-pyc (3.1.4-r0) (12/57) Installing py3-markupsafe-pyc (2.1.5-r1) (13/57) Installing python3-pyc (3.12.3-r1) (14/57) Installing py3-markupsafe (2.1.5-r1) (15/57) Installing py3-jinja2 (3.1.4-r0) (16/57) Installing py3-six (1.16.0-r9) (17/57) Installing py3-six-pyc (1.16.0-r9) (18/57) Installing py3-dateutil (2.9.0-r1) (19/57) Installing py3-dateutil-pyc (2.9.0-r1) (20/57) Installing libquadmath (13.2.1_git20240309-r0) (21/57) Installing libgfortran (13.2.1_git20240309-r0) (22/57) Installing openblas (0.3.27-r0) (23/57) Installing py3-numpy (1.25.2-r1) (24/57) Installing py3-numpy-pyc (1.25.2-r1) (25/57) Installing py3-tz (2024.1-r1) (26/57) Installing py3-tz-pyc (2024.1-r1) (27/57) Installing py3-attrs (23.2.0-r1) (28/57) Installing py3-attrs-pyc (23.2.0-r1) (29/57) Installing py3-tzdata (2024.1-r1) (30/57) Installing py3-tzdata-pyc (2024.1-r1) (31/57) Installing py3-pandas (2.0.3-r2) (32/57) Installing py3-pandas-pyc (2.0.3-r2) (33/57) Installing py3-parsing (3.1.2-r1) (34/57) Installing py3-parsing-pyc (3.1.2-r1) (35/57) Installing yaml (0.2.5-r2) (36/57) Installing py3-yaml (6.0.1-r3) (37/57) Installing py3-yaml-pyc (6.0.1-r3) (38/57) Installing py3-packaging (24.1-r0) (39/57) Installing py3-packaging-pyc (24.1-r0) (40/57) Installing py3-setuptools (70.3.0-r0) (41/57) Installing py3-setuptools-pyc (70.3.0-r0) (42/57) Installing py3-installer (0.7.0-r2) (43/57) Installing py3-installer-pyc (0.7.0-r2) (44/57) Installing py3-gpep517 (16-r0) (45/57) Installing py3-gpep517-pyc (16-r0) (46/57) Installing py3-wheel (0.42.0-r1) (47/57) Installing py3-wheel-pyc (0.42.0-r1) (48/57) Installing iverilog (12.0-r3) (49/57) Installing py3-iniconfig (2.0.0-r1) (50/57) Installing py3-iniconfig-pyc (2.0.0-r1) (51/57) Installing py3-pluggy (1.5.0-r0) (52/57) Installing py3-pluggy-pyc (1.5.0-r0) (53/57) Installing py3-py (1.11.0-r3) (54/57) Installing py3-py-pyc (1.11.0-r3) (55/57) Installing py3-pytest (8.3.1-r0) (56/57) Installing py3-pytest-pyc (8.3.1-r0) (57/57) Installing .makedepends-py3-edalize (20240722.193821) Executing busybox-1.36.1-r31.trigger OK: 564 MiB in 164 packages >>> py3-edalize: Cleaning up srcdir >>> py3-edalize: Cleaning up pkgdir >>> py3-edalize: Cleaning up tmpdir >>> py3-edalize: Fetching https://distfiles.alpinelinux.org/distfiles/edge/py3-edalize-0.5.4.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 353k 100 353k 0 0 1714k 0 --:--:-- --:--:-- --:--:-- 1725k >>> py3-edalize: Fetching https://distfiles.alpinelinux.org/distfiles/edge/py3-edalize-0.5.4.tar.gz >>> py3-edalize: Checking sha512sums... py3-edalize-0.5.4.tar.gz: OK >>> py3-edalize: Unpacking /var/cache/distfiles/edge/py3-edalize-0.5.4.tar.gz... 2024-07-22 19:38:23,258 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ /usr/lib/python3.12/site-packages/setuptools/_distutils/dist.py:268: UserWarning: Unknown distribution option: 'use_scm_version' warnings.warn(msg) 2024-07-22 19:38:23,272 root INFO running bdist_wheel 2024-07-22 19:38:23,291 root INFO running build 2024-07-22 19:38:23,291 root INFO running build_py 2024-07-22 19:38:23,294 root INFO creating build 2024-07-22 19:38:23,295 root INFO creating build/lib 2024-07-22 19:38:23,295 root INFO creating build/lib/edalize 2024-07-22 19:38:23,295 root INFO copying edalize/veribleformat.py -> build/lib/edalize 2024-07-22 19:38:23,295 root INFO copying edalize/spyglass.py -> build/lib/edalize 2024-07-22 19:38:23,295 root INFO copying edalize/vunit_hooks.py -> build/lib/edalize 2024-07-22 19:38:23,295 root INFO copying edalize/sandpipersaas.py -> build/lib/edalize 2024-07-22 19:38:23,296 root INFO copying edalize/genus.py -> build/lib/edalize 2024-07-22 19:38:23,296 root INFO copying edalize/ise_reporting.py -> build/lib/edalize 2024-07-22 19:38:23,296 root INFO copying edalize/vivado_reporting.py -> build/lib/edalize 2024-07-22 19:38:23,296 root INFO copying edalize/morty.py -> build/lib/edalize 2024-07-22 19:38:23,296 root INFO copying edalize/f4pga.py -> build/lib/edalize 2024-07-22 19:38:23,297 root INFO copying edalize/diamond.py -> build/lib/edalize 2024-07-22 19:38:23,297 root INFO copying edalize/gatemate.py -> build/lib/edalize 2024-07-22 19:38:23,297 root INFO copying edalize/isim.py -> build/lib/edalize 2024-07-22 19:38:23,297 root INFO copying edalize/vcs.py -> build/lib/edalize 2024-07-22 19:38:23,297 root INFO copying edalize/symbiyosys.py -> build/lib/edalize 2024-07-22 19:38:23,298 root INFO copying edalize/yosys.py -> build/lib/edalize 2024-07-22 19:38:23,298 root INFO copying edalize/openfpga.py -> build/lib/edalize 2024-07-22 19:38:23,298 root INFO copying edalize/modelsim.py -> build/lib/edalize 2024-07-22 19:38:23,298 root INFO copying edalize/slang.py -> build/lib/edalize 2024-07-22 19:38:23,298 root INFO copying edalize/oxide.py -> build/lib/edalize 2024-07-22 19:38:23,299 root INFO copying edalize/vpr.py -> build/lib/edalize 2024-07-22 19:38:23,299 root INFO copying edalize/design_compiler.py -> build/lib/edalize 2024-07-22 19:38:23,299 root INFO copying edalize/utils.py -> build/lib/edalize 2024-07-22 19:38:23,299 root INFO copying edalize/trellis.py -> build/lib/edalize 2024-07-22 19:38:23,299 root INFO copying edalize/quartus_reporting.py -> build/lib/edalize 2024-07-22 19:38:23,300 root INFO copying edalize/xcelium.py -> build/lib/edalize 2024-07-22 19:38:23,300 root INFO copying edalize/icestorm.py -> build/lib/edalize 2024-07-22 19:38:23,300 root INFO copying edalize/openroad.py -> build/lib/edalize 2024-07-22 19:38:23,300 root INFO copying edalize/edatool.py -> build/lib/edalize 2024-07-22 19:38:23,301 root INFO copying edalize/radiant.py -> build/lib/edalize 2024-07-22 19:38:23,301 root INFO copying edalize/ise.py -> build/lib/edalize 2024-07-22 19:38:23,301 root INFO copying edalize/xsim.py -> build/lib/edalize 2024-07-22 19:38:23,301 root INFO copying edalize/ascentlint.py -> build/lib/edalize 2024-07-22 19:38:23,301 root INFO copying edalize/icarus.py -> build/lib/edalize 2024-07-22 19:38:23,301 root INFO copying edalize/nextpnr.py -> build/lib/edalize 2024-07-22 19:38:23,302 root INFO copying edalize/veriblelint.py -> build/lib/edalize 2024-07-22 19:38:23,302 root INFO copying edalize/reporting.py -> build/lib/edalize 2024-07-22 19:38:23,302 root INFO copying edalize/symbiflow.py -> build/lib/edalize 2024-07-22 19:38:23,302 root INFO copying edalize/vivado.py -> build/lib/edalize 2024-07-22 19:38:23,302 root INFO copying edalize/verilator.py -> build/lib/edalize 2024-07-22 19:38:23,303 root INFO copying edalize/quartus.py -> build/lib/edalize 2024-07-22 19:38:23,303 root INFO copying edalize/mistral.py -> build/lib/edalize 2024-07-22 19:38:23,303 root INFO copying edalize/libero.py -> build/lib/edalize 2024-07-22 19:38:23,303 root INFO copying edalize/openlane.py -> build/lib/edalize 2024-07-22 19:38:23,303 root INFO copying edalize/rivierapro.py -> build/lib/edalize 2024-07-22 19:38:23,303 root INFO copying edalize/questaformal.py -> build/lib/edalize 2024-07-22 19:38:23,304 root INFO copying edalize/apicula.py -> build/lib/edalize 2024-07-22 19:38:23,304 root INFO copying edalize/vunit.py -> build/lib/edalize 2024-07-22 19:38:23,304 root INFO copying edalize/ghdl.py -> build/lib/edalize 2024-07-22 19:38:23,304 root INFO creating build/lib/edalize/tools 2024-07-22 19:38:23,304 root INFO copying edalize/tools/sandpipersaas.py -> build/lib/edalize/tools 2024-07-22 19:38:23,305 root INFO copying edalize/tools/sv2v.py -> build/lib/edalize/tools 2024-07-22 19:38:23,305 root INFO copying edalize/tools/yosys.py -> build/lib/edalize/tools 2024-07-22 19:38:23,305 root INFO copying edalize/tools/vpr.py -> build/lib/edalize/tools 2024-07-22 19:38:23,305 root INFO copying edalize/tools/icepack.py -> build/lib/edalize/tools 2024-07-22 19:38:23,305 root INFO copying edalize/tools/edatool.py -> build/lib/edalize/tools 2024-07-22 19:38:23,305 root INFO copying edalize/tools/icarus.py -> build/lib/edalize/tools 2024-07-22 19:38:23,306 root INFO copying edalize/tools/nextpnr.py -> build/lib/edalize/tools 2024-07-22 19:38:23,306 root INFO copying edalize/tools/surelog.py -> build/lib/edalize/tools 2024-07-22 19:38:23,306 root INFO copying edalize/tools/vivado.py -> build/lib/edalize/tools 2024-07-22 19:38:23,306 root INFO copying edalize/tools/verilator.py -> build/lib/edalize/tools 2024-07-22 19:38:23,306 root INFO copying edalize/tools/efinity.py -> build/lib/edalize/tools 2024-07-22 19:38:23,307 root INFO copying edalize/tools/ghdl.py -> build/lib/edalize/tools 2024-07-22 19:38:23,307 root INFO copying edalize/tools/icetime.py -> build/lib/edalize/tools 2024-07-22 19:38:23,307 root INFO creating build/lib/edalize/flows 2024-07-22 19:38:23,307 root INFO copying edalize/flows/edaflow.py -> build/lib/edalize/flows 2024-07-22 19:38:23,307 root INFO copying edalize/flows/f4pga.py -> build/lib/edalize/flows 2024-07-22 19:38:23,307 root INFO copying edalize/flows/vpr.py -> build/lib/edalize/flows 2024-07-22 19:38:23,308 root INFO copying edalize/flows/icestorm.py -> build/lib/edalize/flows 2024-07-22 19:38:23,308 root INFO copying edalize/flows/generic.py -> build/lib/edalize/flows 2024-07-22 19:38:23,308 root INFO copying edalize/flows/gls.py -> build/lib/edalize/flows 2024-07-22 19:38:23,308 root INFO copying edalize/flows/sim.py -> build/lib/edalize/flows 2024-07-22 19:38:23,308 root INFO copying edalize/flows/lint.py -> build/lib/edalize/flows 2024-07-22 19:38:23,309 root INFO copying edalize/flows/vivado.py -> build/lib/edalize/flows 2024-07-22 19:38:23,309 root INFO copying edalize/flows/efinity.py -> build/lib/edalize/flows 2024-07-22 19:38:23,310 root INFO creating build/lib/edalize/templates 2024-07-22 19:38:23,310 root INFO creating build/lib/edalize/templates/yosys 2024-07-22 19:38:23,310 root INFO copying edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/templates/yosys 2024-07-22 19:38:23,311 root INFO copying edalize/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/templates/yosys 2024-07-22 19:38:23,311 root INFO creating build/lib/edalize/templates/openfpga 2024-07-22 19:38:23,311 root INFO copying edalize/templates/openfpga/task_simulation.conf.j2 -> build/lib/edalize/templates/openfpga 2024-07-22 19:38:23,311 root INFO creating build/lib/edalize/templates/spyglass 2024-07-22 19:38:23,311 root INFO copying edalize/templates/spyglass/Makefile.j2 -> build/lib/edalize/templates/spyglass 2024-07-22 19:38:23,311 root INFO copying edalize/templates/spyglass/spyglass-project.prj.j2 -> build/lib/edalize/templates/spyglass 2024-07-22 19:38:23,311 root INFO copying edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/lib/edalize/templates/spyglass 2024-07-22 19:38:23,312 root INFO creating build/lib/edalize/templates/vcs 2024-07-22 19:38:23,312 root INFO copying edalize/templates/vcs/Makefile.j2 -> build/lib/edalize/templates/vcs 2024-07-22 19:38:23,312 root INFO creating build/lib/edalize/templates/vivado 2024-07-22 19:38:23,312 root INFO copying edalize/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/templates/vivado 2024-07-22 19:38:23,312 root INFO copying edalize/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/templates/vivado 2024-07-22 19:38:23,312 root INFO copying edalize/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/templates/vivado 2024-07-22 19:38:23,313 root INFO copying edalize/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/templates/vivado 2024-07-22 19:38:23,313 root INFO creating build/lib/edalize/templates/vunit 2024-07-22 19:38:23,313 root INFO copying edalize/templates/vunit/run.py.j2 -> build/lib/edalize/templates/vunit 2024-07-22 19:38:23,313 root INFO creating build/lib/edalize/templates/quartus 2024-07-22 19:38:23,313 root INFO copying edalize/templates/quartus/quartus-project.tcl.j2 -> build/lib/edalize/templates/quartus 2024-07-22 19:38:23,313 root INFO copying edalize/templates/quartus/quartus-std-makefile.j2 -> build/lib/edalize/templates/quartus 2024-07-22 19:38:23,313 root INFO copying edalize/templates/quartus/quartus-pro-makefile.j2 -> build/lib/edalize/templates/quartus 2024-07-22 19:38:23,314 root INFO creating build/lib/edalize/templates/ascentlint 2024-07-22 19:38:23,314 root INFO copying edalize/templates/ascentlint/Makefile.j2 -> build/lib/edalize/templates/ascentlint 2024-07-22 19:38:23,314 root INFO copying edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/lib/edalize/templates/ascentlint 2024-07-22 19:38:23,314 root INFO creating build/lib/edalize/templates/libero 2024-07-22 19:38:23,314 root INFO copying edalize/templates/libero/libero-project.tcl.j2 -> build/lib/edalize/templates/libero 2024-07-22 19:38:23,314 root INFO copying edalize/templates/libero/libero-run.tcl.j2 -> build/lib/edalize/templates/libero 2024-07-22 19:38:23,315 root INFO copying edalize/templates/libero/libero-syn-user.tcl.j2 -> build/lib/edalize/templates/libero 2024-07-22 19:38:23,315 root INFO creating build/lib/edalize/templates/ghdl 2024-07-22 19:38:23,315 root INFO copying edalize/templates/ghdl/Makefile.j2 -> build/lib/edalize/templates/ghdl 2024-07-22 19:38:23,315 root INFO creating build/lib/edalize/templates/openlane 2024-07-22 19:38:23,315 root INFO copying edalize/templates/openlane/openlane-makefile.j2 -> build/lib/edalize/templates/openlane 2024-07-22 19:38:23,315 root INFO copying edalize/templates/openlane/openlane-script-tcl.j2 -> build/lib/edalize/templates/openlane 2024-07-22 19:38:23,315 root INFO creating build/lib/edalize/templates/design_compiler 2024-07-22 19:38:23,316 root INFO copying edalize/templates/design_compiler/design-compiler-makefile.j2 -> build/lib/edalize/templates/design_compiler 2024-07-22 19:38:23,316 root INFO copying edalize/templates/design_compiler/design-compiler-project.tcl.j2 -> build/lib/edalize/templates/design_compiler 2024-07-22 19:38:23,316 root INFO copying edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2 -> build/lib/edalize/templates/design_compiler 2024-07-22 19:38:23,316 root INFO creating build/lib/edalize/templates/genus 2024-07-22 19:38:23,316 root INFO copying edalize/templates/genus/genus-makefile.j2 -> build/lib/edalize/templates/genus 2024-07-22 19:38:23,316 root INFO copying edalize/templates/genus/genus-project.tcl.j2 -> build/lib/edalize/templates/genus 2024-07-22 19:38:23,317 root INFO copying edalize/templates/genus/genus-read-sources.tcl.j2 -> build/lib/edalize/templates/genus 2024-07-22 19:38:23,317 root INFO creating build/lib/edalize/tools/templates 2024-07-22 19:38:23,317 root INFO creating build/lib/edalize/tools/templates/efinity 2024-07-22 19:38:23,317 root INFO copying edalize/tools/templates/efinity/isf_to_xml.py -> build/lib/edalize/tools/templates/efinity 2024-07-22 19:38:23,317 root INFO copying edalize/tools/templates/efinity/newproj_tmpl.xml.j2 -> build/lib/edalize/tools/templates/efinity 2024-07-22 19:38:23,317 root INFO creating build/lib/edalize/tools/templates/yosys 2024-07-22 19:38:23,317 root INFO copying edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/tools/templates/yosys 2024-07-22 19:38:23,318 root INFO copying edalize/tools/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/tools/templates/yosys 2024-07-22 19:38:23,318 root INFO creating build/lib/edalize/tools/templates/vivado 2024-07-22 19:38:23,318 root INFO copying edalize/tools/templates/vivado/vivado-netlist.tcl.j2 -> build/lib/edalize/tools/templates/vivado 2024-07-22 19:38:23,318 root INFO copying edalize/tools/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/tools/templates/vivado 2024-07-22 19:38:23,318 root INFO copying edalize/tools/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/tools/templates/vivado 2024-07-22 19:38:23,318 root INFO copying edalize/tools/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/tools/templates/vivado 2024-07-22 19:38:23,319 root INFO copying edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/tools/templates/vivado 2024-07-22 19:38:23,319 root INFO running build_scripts 2024-07-22 19:38:23,320 root INFO creating build/scripts-3.12 2024-07-22 19:38:23,320 root INFO copying and adjusting scripts/el_docker -> build/scripts-3.12 2024-07-22 19:38:23,320 root INFO changing mode of build/scripts-3.12/el_docker from 644 to 755 2024-07-22 19:38:23,325 root INFO installing to build/bdist.linux-x86_64/wheel 2024-07-22 19:38:23,326 root INFO running install 2024-07-22 19:38:23,333 root INFO running install_lib 2024-07-22 19:38:23,336 root INFO creating build/bdist.linux-x86_64 2024-07-22 19:38:23,336 root INFO creating build/bdist.linux-x86_64/wheel 2024-07-22 19:38:23,336 root INFO creating build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,336 root INFO copying build/lib/edalize/veribleformat.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,336 root INFO copying build/lib/edalize/spyglass.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,336 root INFO copying build/lib/edalize/vunit_hooks.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,336 root INFO copying build/lib/edalize/sandpipersaas.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,337 root INFO copying build/lib/edalize/genus.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,337 root INFO copying build/lib/edalize/ise_reporting.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,337 root INFO copying build/lib/edalize/vivado_reporting.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,337 root INFO copying build/lib/edalize/morty.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,337 root INFO copying build/lib/edalize/f4pga.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,337 root INFO copying build/lib/edalize/diamond.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,338 root INFO copying build/lib/edalize/gatemate.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,338 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates 2024-07-22 19:38:23,338 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/genus 2024-07-22 19:38:23,338 root INFO copying build/lib/edalize/templates/genus/genus-makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/genus 2024-07-22 19:38:23,338 root INFO copying build/lib/edalize/templates/genus/genus-read-sources.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/genus 2024-07-22 19:38:23,338 root INFO copying build/lib/edalize/templates/genus/genus-project.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/genus 2024-07-22 19:38:23,339 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/quartus 2024-07-22 19:38:23,339 root INFO copying build/lib/edalize/templates/quartus/quartus-project.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/quartus 2024-07-22 19:38:23,339 root INFO copying build/lib/edalize/templates/quartus/quartus-std-makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/quartus 2024-07-22 19:38:23,339 root INFO copying build/lib/edalize/templates/quartus/quartus-pro-makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/quartus 2024-07-22 19:38:23,339 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/openlane 2024-07-22 19:38:23,339 root INFO copying build/lib/edalize/templates/openlane/openlane-script-tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/openlane 2024-07-22 19:38:23,340 root INFO copying build/lib/edalize/templates/openlane/openlane-makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/openlane 2024-07-22 19:38:23,340 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/vcs 2024-07-22 19:38:23,340 root INFO copying build/lib/edalize/templates/vcs/Makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/vcs 2024-07-22 19:38:23,340 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/vunit 2024-07-22 19:38:23,340 root INFO copying build/lib/edalize/templates/vunit/run.py.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/vunit 2024-07-22 19:38:23,340 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/ascentlint 2024-07-22 19:38:23,340 root INFO copying build/lib/edalize/templates/ascentlint/Makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/ascentlint 2024-07-22 19:38:23,341 root INFO copying build/lib/edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/ascentlint 2024-07-22 19:38:23,341 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/yosys 2024-07-22 19:38:23,341 root INFO copying build/lib/edalize/templates/yosys/yosys-script-tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/yosys 2024-07-22 19:38:23,341 root INFO copying build/lib/edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/yosys 2024-07-22 19:38:23,341 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/libero 2024-07-22 19:38:23,341 root INFO copying build/lib/edalize/templates/libero/libero-syn-user.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/libero 2024-07-22 19:38:23,341 root INFO copying build/lib/edalize/templates/libero/libero-run.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/libero 2024-07-22 19:38:23,342 root INFO copying build/lib/edalize/templates/libero/libero-project.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/libero 2024-07-22 19:38:23,342 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/spyglass 2024-07-22 19:38:23,342 root INFO copying build/lib/edalize/templates/spyglass/Makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/spyglass 2024-07-22 19:38:23,342 root INFO copying build/lib/edalize/templates/spyglass/spyglass-project.prj.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/spyglass 2024-07-22 19:38:23,342 root INFO copying build/lib/edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/spyglass 2024-07-22 19:38:23,342 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/openfpga 2024-07-22 19:38:23,342 root INFO copying build/lib/edalize/templates/openfpga/task_simulation.conf.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/openfpga 2024-07-22 19:38:23,343 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/design_compiler 2024-07-22 19:38:23,343 root INFO copying build/lib/edalize/templates/design_compiler/design-compiler-project.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/design_compiler 2024-07-22 19:38:23,343 root INFO copying build/lib/edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/design_compiler 2024-07-22 19:38:23,343 root INFO copying build/lib/edalize/templates/design_compiler/design-compiler-makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/design_compiler 2024-07-22 19:38:23,343 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/vivado 2024-07-22 19:38:23,343 root INFO copying build/lib/edalize/templates/vivado/vivado-project.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/vivado 2024-07-22 19:38:23,344 root INFO copying build/lib/edalize/templates/vivado/vivado-synth.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/vivado 2024-07-22 19:38:23,344 root INFO copying build/lib/edalize/templates/vivado/vivado-run.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/vivado 2024-07-22 19:38:23,344 root INFO copying build/lib/edalize/templates/vivado/vivado-program.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/vivado 2024-07-22 19:38:23,344 root INFO creating build/bdist.linux-x86_64/wheel/edalize/templates/ghdl 2024-07-22 19:38:23,344 root INFO copying build/lib/edalize/templates/ghdl/Makefile.j2 -> build/bdist.linux-x86_64/wheel/edalize/templates/ghdl 2024-07-22 19:38:23,344 root INFO copying build/lib/edalize/isim.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,344 root INFO copying build/lib/edalize/vcs.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,345 root INFO copying build/lib/edalize/symbiyosys.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,345 root INFO copying build/lib/edalize/yosys.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,345 root INFO copying build/lib/edalize/openfpga.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,345 root INFO copying build/lib/edalize/modelsim.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,345 root INFO copying build/lib/edalize/slang.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,345 root INFO copying build/lib/edalize/oxide.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,346 root INFO copying build/lib/edalize/vpr.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,346 root INFO copying build/lib/edalize/design_compiler.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,346 root INFO copying build/lib/edalize/utils.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,346 root INFO copying build/lib/edalize/trellis.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,346 root INFO copying build/lib/edalize/quartus_reporting.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,346 root INFO copying build/lib/edalize/xcelium.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,347 root INFO copying build/lib/edalize/icestorm.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,347 root INFO copying build/lib/edalize/openroad.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,347 root INFO copying build/lib/edalize/edatool.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,347 root INFO copying build/lib/edalize/radiant.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,347 root INFO copying build/lib/edalize/ise.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,347 root INFO copying build/lib/edalize/xsim.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,348 root INFO copying build/lib/edalize/ascentlint.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,348 root INFO copying build/lib/edalize/icarus.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,348 root INFO copying build/lib/edalize/nextpnr.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,348 root INFO copying build/lib/edalize/veriblelint.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,348 root INFO copying build/lib/edalize/reporting.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,348 root INFO copying build/lib/edalize/symbiflow.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,349 root INFO copying build/lib/edalize/vivado.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,349 root INFO copying build/lib/edalize/verilator.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,349 root INFO creating build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,349 root INFO copying build/lib/edalize/flows/edaflow.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,349 root INFO copying build/lib/edalize/flows/f4pga.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,349 root INFO copying build/lib/edalize/flows/vpr.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,350 root INFO copying build/lib/edalize/flows/icestorm.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,350 root INFO copying build/lib/edalize/flows/generic.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,350 root INFO copying build/lib/edalize/flows/gls.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,350 root INFO copying build/lib/edalize/flows/sim.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,350 root INFO copying build/lib/edalize/flows/lint.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,350 root INFO copying build/lib/edalize/flows/vivado.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,351 root INFO copying build/lib/edalize/flows/efinity.py -> build/bdist.linux-x86_64/wheel/edalize/flows 2024-07-22 19:38:23,351 root INFO copying build/lib/edalize/quartus.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,351 root INFO copying build/lib/edalize/mistral.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,351 root INFO creating build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,351 root INFO copying build/lib/edalize/tools/sandpipersaas.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,351 root INFO copying build/lib/edalize/tools/sv2v.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,352 root INFO creating build/bdist.linux-x86_64/wheel/edalize/tools/templates 2024-07-22 19:38:23,352 root INFO creating build/bdist.linux-x86_64/wheel/edalize/tools/templates/efinity 2024-07-22 19:38:23,352 root INFO copying build/lib/edalize/tools/templates/efinity/newproj_tmpl.xml.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/efinity 2024-07-22 19:38:23,352 root INFO copying build/lib/edalize/tools/templates/efinity/isf_to_xml.py -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/efinity 2024-07-22 19:38:23,352 root INFO creating build/bdist.linux-x86_64/wheel/edalize/tools/templates/yosys 2024-07-22 19:38:23,352 root INFO copying build/lib/edalize/tools/templates/yosys/yosys-script-tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/yosys 2024-07-22 19:38:23,352 root INFO copying build/lib/edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/yosys 2024-07-22 19:38:23,353 root INFO creating build/bdist.linux-x86_64/wheel/edalize/tools/templates/vivado 2024-07-22 19:38:23,353 root INFO copying build/lib/edalize/tools/templates/vivado/vivado-netlist.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/vivado 2024-07-22 19:38:23,353 root INFO copying build/lib/edalize/tools/templates/vivado/vivado-project.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/vivado 2024-07-22 19:38:23,353 root INFO copying build/lib/edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/vivado 2024-07-22 19:38:23,353 root INFO copying build/lib/edalize/tools/templates/vivado/vivado-run.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/vivado 2024-07-22 19:38:23,353 root INFO copying build/lib/edalize/tools/templates/vivado/vivado-program.tcl.j2 -> build/bdist.linux-x86_64/wheel/edalize/tools/templates/vivado 2024-07-22 19:38:23,354 root INFO copying build/lib/edalize/tools/yosys.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,354 root INFO copying build/lib/edalize/tools/vpr.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,354 root INFO copying build/lib/edalize/tools/icepack.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,354 root INFO copying build/lib/edalize/tools/edatool.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,354 root INFO copying build/lib/edalize/tools/icarus.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,354 root INFO copying build/lib/edalize/tools/nextpnr.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,355 root INFO copying build/lib/edalize/tools/surelog.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,355 root INFO copying build/lib/edalize/tools/vivado.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,355 root INFO copying build/lib/edalize/tools/verilator.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,355 root INFO copying build/lib/edalize/tools/efinity.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,355 root INFO copying build/lib/edalize/tools/ghdl.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,355 root INFO copying build/lib/edalize/tools/icetime.py -> build/bdist.linux-x86_64/wheel/edalize/tools 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/libero.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/openlane.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/rivierapro.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/questaformal.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/apicula.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/vunit.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,356 root INFO copying build/lib/edalize/ghdl.py -> build/bdist.linux-x86_64/wheel/edalize 2024-07-22 19:38:23,357 root INFO running install_egg_info 2024-07-22 19:38:23,359 root INFO running egg_info 2024-07-22 19:38:23,359 root INFO creating edalize.egg-info 2024-07-22 19:38:23,361 root INFO writing edalize.egg-info/PKG-INFO 2024-07-22 19:38:23,362 root INFO writing dependency_links to edalize.egg-info/dependency_links.txt 2024-07-22 19:38:23,362 root INFO writing requirements to edalize.egg-info/requires.txt 2024-07-22 19:38:23,363 root INFO writing top-level names to edalize.egg-info/top_level.txt 2024-07-22 19:38:23,363 root INFO writing manifest file 'edalize.egg-info/SOURCES.txt' 2024-07-22 19:38:23,367 root INFO reading manifest file 'edalize.egg-info/SOURCES.txt' 2024-07-22 19:38:23,368 root INFO adding license file 'LICENSE' 2024-07-22 19:38:23,369 root INFO writing manifest file 'edalize.egg-info/SOURCES.txt' 2024-07-22 19:38:23,369 root INFO Copying edalize.egg-info to build/bdist.linux-x86_64/wheel/edalize-0.0.0-py3.12.egg-info 2024-07-22 19:38:23,370 root INFO running install_scripts 2024-07-22 19:38:23,370 root INFO creating build/bdist.linux-x86_64/wheel/edalize-0.0.0.data 2024-07-22 19:38:23,370 root INFO creating build/bdist.linux-x86_64/wheel/edalize-0.0.0.data/scripts 2024-07-22 19:38:23,371 root INFO copying build/scripts-3.12/el_docker -> build/bdist.linux-x86_64/wheel/edalize-0.0.0.data/scripts 2024-07-22 19:38:23,371 root INFO changing mode of build/bdist.linux-x86_64/wheel/edalize-0.0.0.data/scripts/el_docker to 755 2024-07-22 19:38:23,373 root INFO creating build/bdist.linux-x86_64/wheel/edalize-0.0.0.dist-info/WHEEL 2024-07-22 19:38:23,373 wheel INFO creating '/home/buildozer/aports/testing/py3-edalize/src/edalize-0.5.4/dist/.tmp-q1vrv7nc/edalize-0.0.0-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-07-22 19:38:23,373 wheel INFO adding 'edalize/apicula.py' 2024-07-22 19:38:23,373 wheel INFO adding 'edalize/ascentlint.py' 2024-07-22 19:38:23,374 wheel INFO adding 'edalize/design_compiler.py' 2024-07-22 19:38:23,374 wheel INFO adding 'edalize/diamond.py' 2024-07-22 19:38:23,374 wheel INFO adding 'edalize/edatool.py' 2024-07-22 19:38:23,374 wheel INFO adding 'edalize/f4pga.py' 2024-07-22 19:38:23,375 wheel INFO adding 'edalize/gatemate.py' 2024-07-22 19:38:23,375 wheel INFO adding 'edalize/genus.py' 2024-07-22 19:38:23,375 wheel INFO adding 'edalize/ghdl.py' 2024-07-22 19:38:23,375 wheel INFO adding 'edalize/icarus.py' 2024-07-22 19:38:23,375 wheel INFO adding 'edalize/icestorm.py' 2024-07-22 19:38:23,376 wheel INFO adding 'edalize/ise.py' 2024-07-22 19:38:23,376 wheel INFO adding 'edalize/ise_reporting.py' 2024-07-22 19:38:23,376 wheel INFO adding 'edalize/isim.py' 2024-07-22 19:38:23,376 wheel INFO adding 'edalize/libero.py' 2024-07-22 19:38:23,377 wheel INFO adding 'edalize/mistral.py' 2024-07-22 19:38:23,377 wheel INFO adding 'edalize/modelsim.py' 2024-07-22 19:38:23,377 wheel INFO adding 'edalize/morty.py' 2024-07-22 19:38:23,377 wheel INFO adding 'edalize/nextpnr.py' 2024-07-22 19:38:23,377 wheel INFO adding 'edalize/openfpga.py' 2024-07-22 19:38:23,378 wheel INFO adding 'edalize/openlane.py' 2024-07-22 19:38:23,378 wheel INFO adding 'edalize/openroad.py' 2024-07-22 19:38:23,378 wheel INFO adding 'edalize/oxide.py' 2024-07-22 19:38:23,378 wheel INFO adding 'edalize/quartus.py' 2024-07-22 19:38:23,378 wheel INFO adding 'edalize/quartus_reporting.py' 2024-07-22 19:38:23,379 wheel INFO adding 'edalize/questaformal.py' 2024-07-22 19:38:23,379 wheel INFO adding 'edalize/radiant.py' 2024-07-22 19:38:23,379 wheel INFO adding 'edalize/reporting.py' 2024-07-22 19:38:23,379 wheel INFO adding 'edalize/rivierapro.py' 2024-07-22 19:38:23,380 wheel INFO adding 'edalize/sandpipersaas.py' 2024-07-22 19:38:23,380 wheel INFO adding 'edalize/slang.py' 2024-07-22 19:38:23,380 wheel INFO adding 'edalize/spyglass.py' 2024-07-22 19:38:23,380 wheel INFO adding 'edalize/symbiflow.py' 2024-07-22 19:38:23,380 wheel INFO adding 'edalize/symbiyosys.py' 2024-07-22 19:38:23,381 wheel INFO adding 'edalize/trellis.py' 2024-07-22 19:38:23,381 wheel INFO adding 'edalize/utils.py' 2024-07-22 19:38:23,381 wheel INFO adding 'edalize/vcs.py' 2024-07-22 19:38:23,381 wheel INFO adding 'edalize/veribleformat.py' 2024-07-22 19:38:23,381 wheel INFO adding 'edalize/veriblelint.py' 2024-07-22 19:38:23,382 wheel INFO adding 'edalize/verilator.py' 2024-07-22 19:38:23,382 wheel INFO adding 'edalize/vivado.py' 2024-07-22 19:38:23,382 wheel INFO adding 'edalize/vivado_reporting.py' 2024-07-22 19:38:23,382 wheel INFO adding 'edalize/vpr.py' 2024-07-22 19:38:23,383 wheel INFO adding 'edalize/vunit.py' 2024-07-22 19:38:23,383 wheel INFO adding 'edalize/vunit_hooks.py' 2024-07-22 19:38:23,383 wheel INFO adding 'edalize/xcelium.py' 2024-07-22 19:38:23,383 wheel INFO adding 'edalize/xsim.py' 2024-07-22 19:38:23,383 wheel INFO adding 'edalize/yosys.py' 2024-07-22 19:38:23,384 wheel INFO adding 'edalize/flows/edaflow.py' 2024-07-22 19:38:23,384 wheel INFO adding 'edalize/flows/efinity.py' 2024-07-22 19:38:23,384 wheel INFO adding 'edalize/flows/f4pga.py' 2024-07-22 19:38:23,384 wheel INFO adding 'edalize/flows/generic.py' 2024-07-22 19:38:23,385 wheel INFO adding 'edalize/flows/gls.py' 2024-07-22 19:38:23,385 wheel INFO adding 'edalize/flows/icestorm.py' 2024-07-22 19:38:23,385 wheel INFO adding 'edalize/flows/lint.py' 2024-07-22 19:38:23,385 wheel INFO adding 'edalize/flows/sim.py' 2024-07-22 19:38:23,385 wheel INFO adding 'edalize/flows/vivado.py' 2024-07-22 19:38:23,386 wheel INFO adding 'edalize/flows/vpr.py' 2024-07-22 19:38:23,386 wheel INFO adding 'edalize/templates/ascentlint/Makefile.j2' 2024-07-22 19:38:23,386 wheel INFO adding 'edalize/templates/ascentlint/run-ascentlint.tcl.j2' 2024-07-22 19:38:23,386 wheel INFO adding 'edalize/templates/design_compiler/design-compiler-makefile.j2' 2024-07-22 19:38:23,386 wheel INFO adding 'edalize/templates/design_compiler/design-compiler-project.tcl.j2' 2024-07-22 19:38:23,386 wheel INFO adding 'edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2' 2024-07-22 19:38:23,387 wheel INFO adding 'edalize/templates/genus/genus-makefile.j2' 2024-07-22 19:38:23,387 wheel INFO adding 'edalize/templates/genus/genus-project.tcl.j2' 2024-07-22 19:38:23,387 wheel INFO adding 'edalize/templates/genus/genus-read-sources.tcl.j2' 2024-07-22 19:38:23,387 wheel INFO adding 'edalize/templates/ghdl/Makefile.j2' 2024-07-22 19:38:23,387 wheel INFO adding 'edalize/templates/libero/libero-project.tcl.j2' 2024-07-22 19:38:23,387 wheel INFO adding 'edalize/templates/libero/libero-run.tcl.j2' 2024-07-22 19:38:23,388 wheel INFO adding 'edalize/templates/libero/libero-syn-user.tcl.j2' 2024-07-22 19:38:23,388 wheel INFO adding 'edalize/templates/openfpga/task_simulation.conf.j2' 2024-07-22 19:38:23,388 wheel INFO adding 'edalize/templates/openlane/openlane-makefile.j2' 2024-07-22 19:38:23,388 wheel INFO adding 'edalize/templates/openlane/openlane-script-tcl.j2' 2024-07-22 19:38:23,388 wheel INFO adding 'edalize/templates/quartus/quartus-pro-makefile.j2' 2024-07-22 19:38:23,388 wheel INFO adding 'edalize/templates/quartus/quartus-project.tcl.j2' 2024-07-22 19:38:23,389 wheel INFO adding 'edalize/templates/quartus/quartus-std-makefile.j2' 2024-07-22 19:38:23,389 wheel INFO adding 'edalize/templates/spyglass/Makefile.j2' 2024-07-22 19:38:23,389 wheel INFO adding 'edalize/templates/spyglass/spyglass-project.prj.j2' 2024-07-22 19:38:23,389 wheel INFO adding 'edalize/templates/spyglass/spyglass-run-goal.tcl.j2' 2024-07-22 19:38:23,389 wheel INFO adding 'edalize/templates/vcs/Makefile.j2' 2024-07-22 19:38:23,389 wheel INFO adding 'edalize/templates/vivado/vivado-program.tcl.j2' 2024-07-22 19:38:23,390 wheel INFO adding 'edalize/templates/vivado/vivado-project.tcl.j2' 2024-07-22 19:38:23,390 wheel INFO adding 'edalize/templates/vivado/vivado-run.tcl.j2' 2024-07-22 19:38:23,390 wheel INFO adding 'edalize/templates/vivado/vivado-synth.tcl.j2' 2024-07-22 19:38:23,390 wheel INFO adding 'edalize/templates/vunit/run.py.j2' 2024-07-22 19:38:23,390 wheel INFO adding 'edalize/templates/yosys/edalize_yosys_procs.tcl.j2' 2024-07-22 19:38:23,390 wheel INFO adding 'edalize/templates/yosys/yosys-script-tcl.j2' 2024-07-22 19:38:23,391 wheel INFO adding 'edalize/tools/edatool.py' 2024-07-22 19:38:23,391 wheel INFO adding 'edalize/tools/efinity.py' 2024-07-22 19:38:23,391 wheel INFO adding 'edalize/tools/ghdl.py' 2024-07-22 19:38:23,391 wheel INFO adding 'edalize/tools/icarus.py' 2024-07-22 19:38:23,391 wheel INFO adding 'edalize/tools/icepack.py' 2024-07-22 19:38:23,391 wheel INFO adding 'edalize/tools/icetime.py' 2024-07-22 19:38:23,392 wheel INFO adding 'edalize/tools/nextpnr.py' 2024-07-22 19:38:23,392 wheel INFO adding 'edalize/tools/sandpipersaas.py' 2024-07-22 19:38:23,392 wheel INFO adding 'edalize/tools/surelog.py' 2024-07-22 19:38:23,392 wheel INFO adding 'edalize/tools/sv2v.py' 2024-07-22 19:38:23,392 wheel INFO adding 'edalize/tools/verilator.py' 2024-07-22 19:38:23,393 wheel INFO adding 'edalize/tools/vivado.py' 2024-07-22 19:38:23,393 wheel INFO adding 'edalize/tools/vpr.py' 2024-07-22 19:38:23,393 wheel INFO adding 'edalize/tools/yosys.py' 2024-07-22 19:38:23,393 wheel INFO adding 'edalize/tools/templates/efinity/isf_to_xml.py' 2024-07-22 19:38:23,393 wheel INFO adding 'edalize/tools/templates/efinity/newproj_tmpl.xml.j2' 2024-07-22 19:38:23,393 wheel INFO adding 'edalize/tools/templates/vivado/vivado-netlist.tcl.j2' 2024-07-22 19:38:23,394 wheel INFO adding 'edalize/tools/templates/vivado/vivado-program.tcl.j2' 2024-07-22 19:38:23,394 wheel INFO adding 'edalize/tools/templates/vivado/vivado-project.tcl.j2' 2024-07-22 19:38:23,394 wheel INFO adding 'edalize/tools/templates/vivado/vivado-run.tcl.j2' 2024-07-22 19:38:23,394 wheel INFO adding 'edalize/tools/templates/vivado/vivado-synth.tcl.j2' 2024-07-22 19:38:23,394 wheel INFO adding 'edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2' 2024-07-22 19:38:23,394 wheel INFO adding 'edalize/tools/templates/yosys/yosys-script-tcl.j2' 2024-07-22 19:38:23,395 wheel INFO adding 'edalize-0.0.0.data/scripts/el_docker' 2024-07-22 19:38:23,395 wheel INFO adding 'edalize-0.0.0.dist-info/LICENSE' 2024-07-22 19:38:23,395 wheel INFO adding 'edalize-0.0.0.dist-info/METADATA' 2024-07-22 19:38:23,395 wheel INFO adding 'edalize-0.0.0.dist-info/WHEEL' 2024-07-22 19:38:23,395 wheel INFO adding 'edalize-0.0.0.dist-info/top_level.txt' 2024-07-22 19:38:23,396 wheel INFO adding 'edalize-0.0.0.dist-info/RECORD' 2024-07-22 19:38:23,396 root INFO removing build/bdist.linux-x86_64/wheel 2024-07-22 19:38:23,399 gpep517 INFO The backend produced dist/edalize-0.0.0-py3-none-any.whl edalize-0.0.0-py3-none-any.whl ============================= test session starts ============================== platform linux -- Python 3.12.3, pytest-8.3.1, pluggy-1.5.0 -- /home/buildozer/aports/testing/py3-edalize/src/testenv/bin/python3 cachedir: .pytest_cache rootdir: /home/buildozer/aports/testing/py3-edalize/src/edalize-0.5.4 collecting ... collected 152 items / 4 deselected / 148 selected tests/test_apicula.py::test_apicula PASSED [ 0%] tests/test_apicula.py::test_apicula_minimal PASSED [ 1%] tests/test_apicula.py::test_apicula_multiple_cst PASSED [ 2%] tests/test_apicula.py::test_apicula_no_device PASSED [ 2%] tests/test_ascentlint.py::test_ascentlint_defaults PASSED [ 3%] tests/test_diamond.py::test_diamond PASSED [ 4%] tests/test_diamond.py::test_diamond_minimal PASSED [ 4%] tests/test_edam.py::test_empty_edam PASSED [ 5%] tests/test_edam.py::test_incomplete_edam PASSED [ 6%] tests/test_edam.py::test_edam_files PASSED [ 6%] tests/test_edam.py::test_verilog_include_file_with_include_path PASSED [ 7%] tests/test_edam.py::test_verilog_include_file_with_partial_include_path PASSED [ 8%] tests/test_edam.py::test_edam_hook_failing PASSED [ 8%] tests/test_edam.py::test_edam_multiple_hooks PASSED [ 9%] tests/test_flow_gls.py::test_gls PASSED [ 10%] tests/test_flow_lint.py::test_lint PASSED [ 10%] tests/test_gatemate.py::test_gatemate PASSED [ 11%] tests/test_gatemate.py::test_gatemate_minimal PASSED [ 12%] tests/test_gatemate.py::test_gatemate_multiple_ccf PASSED [ 12%] tests/test_gatemate.py::test_gatemate_no_device PASSED [ 13%] tests/test_gatemate.py::test_gatemate_wrong_device PASSED [ 14%] tests/test_gatemate.py::test_gatemate_wrong_device_size PASSED [ 14%] tests/test_ghdl.py::test_ghdl_01 PASSED [ 15%] tests/test_ghdl.py::test_ghdl_02 PASSED [ 16%] tests/test_ghdl.py::test_ghdl_03 PASSED [ 16%] tests/test_ghdl.py::test_ghdl_04 PASSED [ 17%] tests/test_icestorm.py::test_icestorm PASSED [ 18%] tests/test_icestorm.py::test_icestorm_minimal PASSED [ 18%] tests/test_icestorm.py::test_icestorm_no_pcf PASSED [ 19%] tests/test_icestorm.py::test_icestorm_multiple_pcf PASSED [ 20%] tests/test_icestorm.py::test_icestorm_nextpnr PASSED [ 20%] tests/test_icestorm.py::test_icestorm_invalid_pnr PASSED [ 21%] tests/test_ise.py::test_ise PASSED [ 22%] tests/test_ise.py::test_ise_missing_options PASSED [ 22%] tests/test_isim.py::test_isim PASSED [ 23%] tests/test_libero.py::test_libero PASSED [ 24%] tests/test_libero.py::test_libero_with_params PASSED [ 25%] tests/test_mistral.py::test_mistral PASSED [ 25%] tests/test_mistral.py::test_mistral_minimal PASSED [ 26%] tests/test_mistral.py::test_mistral_multiple_qsf PASSED [ 27%] tests/test_mistral.py::test_mistral_no_device PASSED [ 27%] tests/test_modelsim.py::test_modelsim PASSED [ 28%] tests/test_modelsim.py::test_modelsim_common_compilation PASSED [ 29%] tests/test_morty.py::test_morty PASSED [ 29%] tests/test_openfpga.py::test_openfpga PASSED [ 30%] tests/test_openlane.py::test_openlane PASSED [ 31%] tests/test_oxide.py::test_oxide PASSED [ 31%] tests/test_oxide.py::test_oxide_minimal PASSED [ 32%] tests/test_oxide.py::test_oxide_multiple_pdc PASSED [ 33%] tests/test_oxide.py::test_oxide_no_device PASSED [ 33%] tests/test_plugin.py::test_legacy_backend_plugin PASSED [ 34%] tests/test_plugin.py::test_flow_plugin PASSED [ 35%] tests/test_plugin.py::test_tool_plugin PASSED [ 35%] tests/test_quartus.py::test_quartus PASSED [ 36%] tests/test_questa_formal.py::test_questa_formal PASSED [ 37%] tests/test_radiant.py::test_radiant PASSED [ 37%] tests/test_radiant.py::test_radiant_minimal PASSED [ 38%] tests/test_reporting.py::test_missing_dir PASSED [ 39%] tests/test_reporting.py::test_no_reports PASSED [ 39%] tests/test_reporting.py::test_missing_reports PASSED [ 40%] tests/test_reporting.py::test_extra_reports PASSED [ 41%] tests/test_reporting.py::test_period_to_freq PASSED [ 41%] tests/test_reporting.py::test_picorv32_quartus_cyclone4_summary PASSED [ 42%] tests/test_reporting.py::test_picorv32_quartus_cylone4_resources PASSED [ 43%] tests/test_reporting.py::test_picorv32_quartus_cylone4_timing PASSED [ 43%] tests/test_reporting.py::test_picorv32_quartus_cyclone10_summary PASSED [ 44%] tests/test_reporting.py::test_picorv32_quartus_cyclone10_no_header PASSED [ 45%] tests/test_reporting.py::test_picorv32_quartus_cyclone10_resource_by_entity PASSED [ 45%] tests/test_reporting.py::test_picorv32_quartus_cyclone10_timing PASSED [ 46%] tests/test_reporting.py::test_picorv32_ise_spartan6_summary PASSED [ 47%] tests/test_reporting.py::test_picorv32_ise_spartan6_multiline PASSED [ 47%] tests/test_reporting.py::test_picorv32_ise_spartan6_resources PASSED [ 48%] tests/test_reporting.py::test_picorv32_ise_spartan6_timing PASSED [ 49%] tests/test_reporting.py::test_picorv32_artix7_summary PASSED [ 50%] tests/test_reporting.py::test_picorv32_artix7_resources PASSED [ 50%] tests/test_reporting.py::test_picorv32_artix7_timing PASSED [ 51%] tests/test_reporting.py::test_picorv32_kusp_summary PASSED [ 52%] tests/test_reporting.py::test_picorv32_kusp_resources PASSED [ 52%] tests/test_reporting.py::test_picorv32_kusp_timing PASSED [ 53%] tests/test_reporting.py::test_linux_on_litex_vexriscv_arty_a7_summary PASSED [ 54%] tests/test_reporting.py::test_linux_on_litex_vexriscv_arty_a7_resources PASSED [ 54%] tests/test_reporting.py::test_linux_on_litex_vexriscv_arty_a7_timing PASSED [ 55%] tests/test_reporting.py::test_linux_on_litex_vexriscv_de10nano_summary PASSED [ 56%] tests/test_reporting.py::test_linux_on_litex_vexriscv_de10nano_resources PASSED [ 56%] tests/test_reporting.py::test_linux_on_litex_vexriscv_de10nano_timing PASSED [ 57%] tests/test_reporting.py::test_linux_on_litex_vexriscv_pipistrello_summary PASSED [ 58%] tests/test_reporting.py::test_linux_on_litex_vexriscv_pipistrello_resources PASSED [ 58%] tests/test_reporting.py::test_linux_on_litex_vexriscv_pipistrello_timing PASSED [ 59%] tests/test_rivierapro.py::test_rivierapro PASSED [ 60%] tests/test_slang.py::test_slang_lint PASSED [ 60%] tests/test_slang.py::test_slang_preprocess PASSED [ 61%] tests/test_slang.py::test_slang_slang_options PASSED [ 62%] tests/test_symbiflow.py::test_symbiflow_vtr PASSED [ 62%] tests/test_symbiflow.py::test_symbiflow_nextpnr_xilinx PASSED [ 63%] tests/test_symbiflow.py::test_symbiflow_nextpnr_fpga_interchange PASSED [ 64%] tests/test_symbiyosys.py::test_symbiyosys PASSED [ 64%] tests/test_tool_efinity.py::test_tool_efinity PASSED [ 65%] tests/test_tool_efinity.py::test_tool_efinity_no_env PASSED [ 66%] tests/test_tool_icarus.py::test_tool_icarus PASSED [ 66%] tests/test_tool_icarus.py::test_tool_icarus_multiple_tops PASSED [ 67%] tests/test_tool_icepack.py::test_tool_icepack PASSED [ 68%] tests/test_tool_icepack.py::test_tool_icepack_no_input PASSED [ 68%] tests/test_tool_icepack.py::test_tool_icepack_multiple_inputs PASSED [ 69%] tests/test_tool_verilator.py::test_tool_verilator PASSED [ 70%] tests/test_tool_vivado.py::test_tool_vivado PASSED [ 70%] tests/test_tool_vivado.py::test_tool_vivado_tags PASSED [ 71%] tests/test_tool_yosys.py::test_tool_yosys[json-xilinx] PASSED [ 72%] tests/test_tool_yosys.py::test_tool_yosys[json-ice40] PASSED [ 72%] tests/test_tool_yosys.py::test_tool_yosys[json-ecp5] PASSED [ 73%] tests/test_tool_yosys.py::test_tool_yosys[edif-xilinx] PASSED [ 74%] tests/test_tool_yosys.py::test_tool_yosys[edif-ice40] PASSED [ 75%] tests/test_tool_yosys.py::test_tool_yosys[edif-ecp5] PASSED [ 75%] tests/test_tool_yosys.py::test_tool_yosys[blif-xilinx] PASSED [ 76%] tests/test_tool_yosys.py::test_tool_yosys[blif-ice40] PASSED [ 77%] tests/test_tool_yosys.py::test_tool_yosys[blif-ecp5] PASSED [ 77%] tests/test_tool_yosys.py::test_tool_yosys[verilog-xilinx] PASSED [ 78%] tests/test_tool_yosys.py::test_tool_yosys[verilog-ice40] PASSED [ 79%] tests/test_tool_yosys.py::test_tool_yosys[verilog-ecp5] PASSED [ 79%] tests/test_tool_yosys.py::test_tool_yosys_noarch PASSED [ 80%] tests/test_tool_yosys.py::test_tool_yosys_minimal PASSED [ 81%] tests/test_tool_yosys.py::test_tool_yosys_tags PASSED [ 81%] tests/test_tool_yosys.py::test_tool_yosys_template PASSED [ 82%] tests/test_trellis.py::test_trellis PASSED [ 83%] tests/test_trellis.py::test_trellis_minimal PASSED [ 83%] tests/test_trellis.py::test_trellis_multiple_pcf PASSED [ 84%] tests/test_vcs.py::test_vcs_tool_options PASSED [ 85%] tests/test_vcs.py::test_vcs_no_tool_options PASSED [ 85%] tests/test_vcs.py::test_vcs_minimal PASSED [ 86%] tests/test_veribleformat.py::test_veribleformat_default PASSED [ 87%] tests/test_veriblelint.py::test_veriblelint_default PASSED [ 87%] tests/test_verilator.py::test_verilator_cc PASSED [ 88%] tests/test_verilator.py::test_verilator_sc PASSED [ 89%] tests/test_verilator.py::test_verilator_lint_only PASSED [ 89%] tests/test_verilator.py::test_verilator_binary PASSED [ 90%] tests/test_verilator.py::test_verilator_dpi_hdr_only PASSED [ 91%] tests/test_verilator.py::test_verilator_preprocess_only PASSED [ 91%] tests/test_verilator.py::test_verilator_xml_only PASSED [ 92%] tests/test_vivado.py::test_vivado PASSED [ 93%] tests/test_vivado.py::test_vivado_minimal[params0] PASSED [ 93%] tests/test_vivado.py::test_vivado_minimal[params1] PASSED [ 94%] tests/test_vivado.py::test_vivado_board_file PASSED [ 95%] tests/test_vpr.py::test_vpr[params0] PASSED [ 95%] tests/test_vunit.py::test_vunit_codegen PASSED [ 96%] tests/test_vunit.py::test_vunit_hooks PASSED [ 97%] tests/test_xcelium.py::test_xcelium PASSED [ 97%] tests/test_xsim.py::test_xsim PASSED [ 98%] tests/test_xsim.py::test_xsim_mfc PASSED [ 99%] tests/test_yosys.py::test_symbiyosys PASSED [100%] ====================== 148 passed, 4 deselected in 16.57s ====================== /usr/lib/python3.12/site-packages/_pytest/pathlib.py:91: PytestWarning: (rm_rf) error removing /tmp/pytest-of-buildozer/garbage-4b9e0211-ee35-4494-ad07-ca82e8c9701a/test_safe_get_no_perms0 : [Errno 39] Directory not empty: 'test_safe_get_no_perms0' warnings.warn( /usr/lib/python3.12/site-packages/_pytest/pathlib.py:91: PytestWarning: (rm_rf) error removing /tmp/pytest-of-buildozer/garbage-4b9e0211-ee35-4494-ad07-ca82e8c9701a/test_safe_set_no_perms0 : [Errno 39] Directory not empty: 'test_safe_set_no_perms0' warnings.warn( /usr/lib/python3.12/site-packages/_pytest/pathlib.py:91: PytestWarning: (rm_rf) error removing /tmp/pytest-of-buildozer/garbage-4b9e0211-ee35-4494-ad07-ca82e8c9701a/test_safe_delete_no_perms0 : [Errno 39] Directory not empty: 'test_safe_delete_no_perms0' warnings.warn( /usr/lib/python3.12/site-packages/_pytest/pathlib.py:91: PytestWarning: (rm_rf) error removing /tmp/pytest-of-buildozer/garbage-4b9e0211-ee35-4494-ad07-ca82e8c9701a : [Errno 39] Directory not empty: '/tmp/pytest-of-buildozer/garbage-4b9e0211-ee35-4494-ad07-ca82e8c9701a' warnings.warn( >>> py3-edalize: Entering fakeroot... >>> py3-edalize-pyc*: Running split function pyc... '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize/usr/lib/python3.12/site-packages/edalize/flows/__pycache__' -> '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize-pyc/usr/lib/python3.12/site-packages/edalize/flows/__pycache__' '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize/usr/lib/python3.12/site-packages/edalize/__pycache__' -> '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize-pyc/usr/lib/python3.12/site-packages/edalize/__pycache__' '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize/usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/__pycache__' -> '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize-pyc/usr/lib/python3.12/site-packages/edalize/tools/templates/efinity/__pycache__' '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize/usr/lib/python3.12/site-packages/edalize/tools/__pycache__' -> '/home/buildozer/aports/testing/py3-edalize/pkg/py3-edalize-pyc/usr/lib/python3.12/site-packages/edalize/tools/__pycache__' >>> py3-edalize-pyc*: Preparing subpackage py3-edalize-pyc... >>> py3-edalize-pyc*: Running postcheck for py3-edalize-pyc >>> py3-edalize*: Running postcheck for py3-edalize >>> py3-edalize*: Preparing package py3-edalize... >>> py3-edalize*: Stripping binaries >>> WARNING: py3-edalize*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-edalize-pyc*: Scanning shared objects >>> py3-edalize*: Scanning shared objects >>> py3-edalize-pyc*: Tracing dependencies... py3-jinja2 py3-pandas py3-parsing py3-yaml python3 python3~3.12 >>> py3-edalize-pyc*: Package size: 652.0 KB >>> py3-edalize-pyc*: Compressing data... >>> py3-edalize-pyc*: Create checksum... >>> py3-edalize-pyc*: Create py3-edalize-pyc-0.5.4-r0.apk >>> py3-edalize*: Tracing dependencies... py3-jinja2 py3-pandas py3-parsing py3-yaml python3 python3~3.12 >>> py3-edalize*: Package size: 856.0 KB >>> py3-edalize*: Compressing data... >>> py3-edalize*: Create checksum... >>> py3-edalize*: Create py3-edalize-0.5.4-r0.apk >>> py3-edalize: Build complete at Mon, 22 Jul 2024 19:38:41 +0000 elapsed time 0h 0m 22s >>> py3-edalize: Cleaning up srcdir >>> py3-edalize: Cleaning up pkgdir >>> py3-edalize: Uninstalling dependencies... (1/57) Purging .makedepends-py3-edalize (20240722.193821) (2/57) Purging py3-jinja2-pyc (3.1.4-r0) (3/57) Purging py3-jinja2 (3.1.4-r0) (4/57) Purging py3-markupsafe-pyc (2.1.5-r1) (5/57) Purging py3-markupsafe (2.1.5-r1) (6/57) Purging py3-pandas-pyc (2.0.3-r2) (7/57) Purging py3-pandas (2.0.3-r2) (8/57) Purging py3-dateutil-pyc (2.9.0-r1) (9/57) Purging py3-dateutil (2.9.0-r1) (10/57) Purging py3-six-pyc (1.16.0-r9) (11/57) Purging py3-six (1.16.0-r9) (12/57) Purging py3-numpy-pyc (1.25.2-r1) (13/57) Purging py3-numpy (1.25.2-r1) (14/57) Purging openblas (0.3.27-r0) (15/57) Purging py3-tz-pyc (2024.1-r1) (16/57) Purging py3-tz (2024.1-r1) (17/57) Purging py3-tzdata-pyc (2024.1-r1) (18/57) Purging py3-tzdata (2024.1-r1) (19/57) Purging py3-attrs-pyc (23.2.0-r1) (20/57) Purging py3-attrs (23.2.0-r1) (21/57) Purging py3-yaml-pyc (6.0.1-r3) (22/57) Purging py3-yaml (6.0.1-r3) (23/57) Purging py3-setuptools-pyc (70.3.0-r0) (24/57) Purging py3-setuptools (70.3.0-r0) (25/57) Purging py3-gpep517-pyc (16-r0) (26/57) Purging py3-gpep517 (16-r0) (27/57) Purging py3-wheel-pyc (0.42.0-r1) (28/57) Purging py3-wheel (0.42.0-r1) (29/57) Purging py3-installer-pyc (0.7.0-r2) (30/57) Purging py3-installer (0.7.0-r2) (31/57) Purging iverilog (12.0-r3) (32/57) Purging py3-pytest-pyc (8.3.1-r0) (33/57) Purging py3-pytest (8.3.1-r0) (34/57) Purging py3-iniconfig-pyc (2.0.0-r1) (35/57) Purging py3-iniconfig (2.0.0-r1) (36/57) Purging py3-packaging-pyc (24.1-r0) (37/57) Purging py3-packaging (24.1-r0) (38/57) Purging py3-parsing-pyc (3.1.2-r1) (39/57) Purging py3-parsing (3.1.2-r1) (40/57) Purging py3-pluggy-pyc (1.5.0-r0) (41/57) Purging py3-pluggy (1.5.0-r0) (42/57) Purging py3-py-pyc (1.11.0-r3) (43/57) Purging py3-py (1.11.0-r3) (44/57) Purging python3-pyc (3.12.3-r1) (45/57) Purging python3-pycache-pyc0 (3.12.3-r1) (46/57) Purging pyc (3.12.3-r1) (47/57) Purging python3 (3.12.3-r1) (48/57) Purging gdbm (1.24-r0) (49/57) Purging libbz2 (1.0.8-r6) (50/57) Purging libffi (3.4.6-r0) (51/57) Purging libgfortran (13.2.1_git20240309-r0) (52/57) Purging libpanelw (6.5_p20240601-r0) (53/57) Purging libquadmath (13.2.1_git20240309-r0) (54/57) Purging mpdecimal (4.0.0-r0) (55/57) Purging sqlite-libs (3.46.0-r0) (56/57) Purging xz-libs (5.6.2-r0) (57/57) Purging yaml (0.2.5-r2) Executing busybox-1.36.1-r31.trigger OK: 378 MiB in 107 packages >>> py3-edalize: Updating the testing/x86_64 repository index... >>> py3-edalize: Signing the index...